AKA Embedded 开放实验室系列普及讲座之一 FPGA/CPLD的应用和开发简介

Slides:



Advertisements
Similar presentations
课前寄语 1 、保持纪律 2 、相互配合. 第三节 公民的投资 —— 公民的存款储蓄 课堂导入.
Advertisements

親 ( 四 ) 親近神的路. 一、親的三字訣、七字訣: 親近神,親愛人; 與主交通親近神,同情關心親愛人。 甚麼是親? 1. 親有親近、親愛,更有關心、同情、親切的 意思。 2. 親的人與人沒有間隔,拉近人與人之間的距 離,並且樂意幫助人,與人相調建造在一起。
第二班群教師團隊 105 張心平 107 鐘于寧 106 黃意評 108 鄭婉茹. 第二班群之班親會說明 學校規定事項說明 教學活動說明 班群活動介紹.
差勤.
申論題要拿高分並不容易,因為他是 有一定的技巧的,如果你遵照下列技 巧來作答申論題,相信高分並不難拿, 其技巧如下:
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
SOPC技术 数字逻辑电路 主讲:刘昌华 嵌入式技术研究所
FPGA设计与应用 大连理工大学软件学院 王洁 2011年春季.
102大學甄選入學 個人申請、繁星推薦說明 主講人:簡慧嫻.
(4)理论体系与实训模块 必须衔接、融合 本课程把理论教学体系与实训模块结构连接成一个完整的高职课程体系。
最有利標及評選優勝廠商 講師 劉金龍 經歷:臺中市政府發包科科長.
第2章 SOPC硬件开发环境及流程.
EDA技术 第9章 FPGA硬件设计.
第二章 项目一:企业厂区与车间平面设计 1.
青春期 要長大囉! 男女有別 生命的誕生~兩性結合才有下一代的新生命 為什麼會有月經? 經痛怎麼辦 ? 渡過快樂青春喜歡自己
第2章 FPGA/CPLD结构与应用.
102學年度 彰化縣國中新生編班作業 說明會 聯絡電話: #221(註冊組長) #223(資訊組長)
嵌入式系统应用 概述 随着现代物流业仓储、超市等行业的快速发展,物品流动的速度越来越快,仓储库存周期也越来越短。这样物流仓储盘点行业的压力也越来越大,传统的人工盘点方式已经不能适应现代物流的发展。为了解决这一问题,国际上一些物流先进国家已经采用专业的盘点设备——盘点机,用于物流盘点。 我们选取了一个十分典型的国产盘点机进行介绍。其微处理器选型是采用韩国三星公司生产的S3C2410微处理器,操作系统采用了Windows.
最有利標及評選優勝廠商 講師 劉金龍 經歷:臺中市政府發包科科長.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月22日星期三.
數位邏輯設計與實習 ch04 組合邏輯電路設計.
时政发布 制作:宋虹雷.
第八章 可编程逻辑器件 本章的重点: 本章的难点: 1.PLD的基本特征,分类以及每种类型的特点;
運輸與空間的交互作用 運輸發展的階段 一、分散的港口 二、侵入路線 三、發展支線 四、初步相互連結 五、完全相互連結 六、高度優越的幹線
ALTERA FLEX10K / FLEX10KE Emulation Board 使用說明書.
电工电子实验中心.
FPGA可配置应用平台给工业产品带来的设计便利
第1章 FPGA概述 1.1 FPGA的发展历程 1.2 FPGA的基本原理 1.3 FPGA的设计方法 1.4 FPGA的设计流程
CH.2 Introduction to Microprocessor-Based Control
水煮FPGA 传统FPGA设计流程简介.
第一章 嵌入式系统开发基础 本章介绍了嵌入式系统开发的基础知识,从嵌入式计算机的历史由来、嵌入式系统的定义、嵌入式系统的基本特点、嵌入式系统的分类及应用、嵌入式系统软硬件各部分组成、嵌入式系统的开发流程、嵌入式技术的发展趋势等方面进行了介绍,涉及到嵌入式系统开发的基本内容,使读者系统地建立起的嵌入式系统整体概念。
Chapter 06 Design Implementation Part I
第3章 可编程逻辑器件的 工作原理.
基本硬體介紹 1.主機板 2.CPU(運算中心) 3.記憶體(RAM-短暫記憶資料處) 4. 硬碟(HDD儲存資料處) 5.顯示卡(接螢幕)
第3章 DSP Builder设计入门 3.1 DSP Builder及其设计流程 3.2 DSP Builder设计向导
FIFO 与 UPP 本节我们结合UPP接口给大家介绍一下FIFO在实际工程中的使用。 广州创龙电子科技有限公司 01 51ele.net
浅谈基于FPGA的电路设计 报告人:吴爱平 2005/11/13
版权所有,禁止未经授权的商业使用行为 何宾 Tel: 北京中教仪装备技术有限公司.
Flash摺紙藝術教學 這是韓國人製作的摺紙教學網站,運用flash講解每個步驟,非常實用,不妨多學習利用,也可播放步驟加上解說讓幼兒跟著做。 僅用於自己教學非營利用圖以尊重著作版權。
第4章 常用EDA工具软件操作指南 4.1 Lattice ispEXPERT 操作指南
可编程逻辑器件及ASIC简介.
第四阶段实验 ISP器件的设计与应用 一、实验目的 二、实验内容与要求 三、ISP器件的开发流程 四、EDA Pro2K实验系统介绍
組長: 劉瀚元 組員: 黃靖、鄧靖蓉、林亮佑 指導教授: 林得裕
存储设备介绍 广州创龙电子科技有限公司 Guangzhou Tronlong Electronic Technology Co., Ltd.
第 9 章 存储器和可编程逻辑器件 9.1 半导体存储器 9.2 可编程逻辑器件.
可编程器件与电子设计自动化 上海交通大学电工及电子技术实验中心 一九九九年三月.
第6章 FPGA的下载配置电路设计.
EDA 原理及应用 何宾
PIC-CPLD原理和系统设计.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
QUARTUSⅡ使用简介 高级电子系统设计课程 电路与系统 信息学院
EDA 原理及应用 何宾
第14章 其它DSP设计库 14.1 总线控制库 14.2 复数信号库 14.3 Gates库 14.4 状态机函数库
APEX 20K 用于系统集成的嵌入式 PLD 系列 © 1998 Altera Corporation 1
本章小结: 可编程逻辑器件(Programmable Logic Device,简称为 PLD)是目前数字系统设计的主要硬件基础。现场可编程门阵列 FPGA(Field Programmable Gate Array)现场可编程门阵列FPGA在结构上由逻辑功能块排列为阵列,功能由逻辑结构的配置数据决定,配置数据可以存放在片外的EPROM或其他存储体上,人们可以控制加载过程,在现场修改器件的逻辑功能。
Programmable Logic Architecture Verilog HDL FPGA Design
EDA 第二章 可编程逻辑器件.
第七章 可编程逻辑器件 PLD 7.1 PLD 概述 PLD 的电路结构及分类 PLD 的编程工艺及描述的逻辑规则和符号 PLD 的设计过程及主要优点 7.2 只读存储器 ROM 的内部结构 用ROM 实现组合逻辑设计 常用的LSI.
第1章 概述 1.1 DSP实现方案及设计流程 1.2 现代DSP设计流程概述 1.3 两类DSP解决方案的比较.
计算机学院 数字逻辑实验的要求.
第一章 概 述.
长春工业大学工程训练中心 EDA技术及应用实践课程 Maxplus Ⅱ 软件开发系统简述 主讲:刘春阳 长春工业大学工程训练中心
第四章 QuartusII软件的应用 本章重点: 本章难点: EDA技术 QuartusII的设计输入 QuartusII软件的综合与编译
數位邏輯 第8章組合邏輯的應用 8-1可程式邏輯元件 8-2可程式邏輯陣列 8-3可程式的陣列邏輯 8-4商用可程式邏輯元件.
公文辦理注意事項.
Progress Report Yuan-Hsin Liao 10/22/2014.
新竹縣108年第一次鑑定安置 學前心評教師職前說明會
真信心的果效 雅各書2:
AVR单片机软硬件设计教程-入门篇 ——学单片机就要学AVR!
Programmable Logic System Design
Presentation transcript:

AKA Embedded 开放实验室系列普及讲座之一 FPGA/CPLD的应用和开发简介 报告人:单惠平 Email: hcl_he@sina.com 2003-11-30

FPGA/CPLD的应用和开发简介 一、FPGA/CPLD 简介 二、用MAXplus II 开发片内程序 四、实例演示

一、FPGA/CPLD 简介

什么是FPGA/CPLD ( C )PLD; (Complex) Programmable Logic Device 复杂可编程逻辑器件 多为EEPROM工艺,基于乘积项(Product Term)结构。 FPGA: Field Programmable Gate Array 现场可变程门阵列 多为SRAM工艺,基于查找表(Look Up Table)结构,要外挂 配置器件。 各厂商叫法: Xilinx:SRAM工艺 叫FPGA; FLASH工艺叫CPLD ALTERA: MAX(基于EEROM), FLEX/APEX/ACEX /STRATIX 系列 (基于SRAM)均叫PLD。

FPGA/CPLD的特点 设计灵活 可以在线升级 低风险,低周期,低投入 缩小PCB面积 系统可靠性提高 保密性好

FPGA/CPLD的使用范围 时序控制 数据处理 总线控制器 接口控制器 信号处理

两个实际例子 通信处理系统 PCI图像采集卡

FPGA/CPLD的开发流程 需求分析,制定方案 用软件实现方案,功能确认 根据管脚、速度等要求选定器件,仿真验证,时序确认 设计开发硬件 对器件编程调试

软件开发工具介绍 MAXplus II 支持器件ACEX 1K系列, MAX3000、 7000、 9000系列,FLEX10K、6000、8000系列。 QUARTUS II 除支持上述以外,还支持APEX 20K, APEX II, Cyclone, Stratix, Stratix GX, HardCopy Stratix, Excalibur_ARM等最新的器件。

用MAXplus II开发片内程序

用MAXplusII设计步骤 设计输入 选定器件 综合编译 分配管脚 下载调试 时序分析 检查Rpt 综合编译

输入 图形 文本 波形 第三方(orCAD,sysnopsys,Mentor,Xillinx)

图形输入 Symbol种类: prim,基本逻辑模块 mif,74系列等 meg_lpm,LPM edif,小逻辑 用户自定义symbol

文本输入 VHDL,AHDL,Verilog

分配器件 不同的器件内部资源不一样,反应时间不一样,编译前必须分配合适的器件才能正确的进行后续工作

编译 检查语法错误和一般的设计缺陷

分配管脚 可使用assign pin命令,也可使用floorplan editor

查看report文件 器件的管脚图,资源使用情况

时序仿真 查看逻辑,时序关系,有无毛刺等

定时分析工具Timing Analyser 是一个静态的时间定时分析工具 三种状态: Registered Performance 计算最快的内部时钟频率 Delay Matrix 计算从源节点到目标节点的延迟 Setup/Hold Matrix 计算从输入脚到触发器,锁存器等所需的最小建立/保持时间。 延迟路径可以定位到设计文件和floorplan editor里。

寄存器性能分析 List Paths可以显示延迟路径

传输延迟分析

建立保持时间分析

下载

模块化 生成自己的symbol

三、硬件开发

FPGA/CPLD的管脚分类 电源和地(Power) VCCINT GNDINT:内核 VCCIO GNDIO:IO(MultiVolt IO) 配置管脚:用于EEPROM配置器件和在线编程 JTAG管脚:用于边界扫描和在线编程 Dedicated I/O:驱动能力较强,用于全局时钟,清零等

下载电路基本知识

Altera ByteBlaster(MV)下载调试编程电缆及接口

下载电路的种类 Passive Serial(PS) Configuration Passive Parallel Synchronous Configuraion Passive Parallel Asynchronous Configuraion JTAG Configuration

典型下载电路图示

Single Device PS Configuration Using a Configuration Device

Single Device PS Configuration Using a Microprocessor

PS Configuration with a Download Cable & Configuration Device Circuit

JTAG Configuration of a Single Device Using a Download Cable

JTAG Configuration of a Single Device Using a Microprocessor

基本调试方法 利用管脚可以重新配置的特点,将需要观测的信号锁定到测试点或外部插座上,需要注意管脚的重新分配不要和外围电路冲突。 在用仪器查看信号的同时,充分利用开发软件的时序仿真器,修改优化设计。

几个小问题

不用的管脚怎样处理? 不用的全局信号和专用输入管脚,如Global clk, Global clear, Ded Input等,应接地 其他I/O可以悬空,但是如果这些管脚和外部电路有连接,需要将其定义为输入管脚。

怎样实现延迟? 不能使用门(如两个非门)来实现,综合时冗余逻辑将会被软件去掉。 ALTERA的基本单元中有LCELL,可以实现一定延时,但不推荐使用。因为在可编程器件中逻辑单元的延时有可能随着电源电压的波动和器件的温度不同而改变。 可以利用高频时钟来实现。

怎样提高设计的速度? 器件手册给出的参考设计的速度不等同于用户设计完成的速度。 利用软件相关设置来提高速度。 通过寻找最大延迟,去除冗余逻辑,使用流水线等来优化设计。

几点建议 图形和文本输入并用,尽可能使用LPM模块 推荐最多使用80%的逻辑,以便调试时修改 养成设计模块化的习惯 养成细心和耐心的习惯

四、实例演示

PDP电路原理分析 维持期的周期波形个数决定亮度

控制信号的部分波形 波形可以分为三段: 第一段:不规则波形 第二段:波形呈周期出现 第三段:不规则波形

简化模型 P1,P0信号规律: 分三段: 01:2T 10,01波形周期出现,循环M个 10:1T

实现思路 利用FPGA中的EAB构造ROM,ROM只存储不规则波形和规则波形的一个周期。 分三段存储(从高到低为P1,P0): 地址0:存01,读2次 地址1~2:依次存10,01,读M次。 地址3:存10,读1次 用一个地址产生模块来控制ROM的输出

具体电路图 ROM的地址由ADDRESS模块提供 ROM的CLK和ADDRESS模块的CLK反相

演示

谢谢大家!