Presentation is loading. Please wait.

Presentation is loading. Please wait.

第二章 应用Protel99 SE设计电路原理图

Similar presentations


Presentation on theme: "第二章 应用Protel99 SE设计电路原理图"— Presentation transcript:

1 第二章 应用Protel99 SE设计电路原理图
【教学内容】 2.1 概述 2.2 Protel99 SE基础知识 2.3 原理图设计(基础篇) 2.4 原理图设计(提高篇) 【教学目的】 以电路设计的基础知识为主,按照电路设计的步骤、原理图的设计和层次原理图的设计等内容并结合实例介绍Protel99 SE软件的各种功能菜单、工具的用途,达到对Protel99 SE软件有个全面的了解并达到熟练掌握的目的。

2 2.1 概述 2.1.1 Protel99 SE的主要功能 1. 电路原理图设计—Schematic 99SE
2.1 概述 Protel99 SE的主要功能 1. 电路原理图设计—Schematic 99SE 2. 印制板图设计—PCB99SE   . 元器件库编辑—Schematic Library ,PCB Library 4. 信号完整性分析与电路逻辑仿真 5. 可编程逻辑设计PLD Protel99 SE的主要特性 1. C/S体系结构 2 .智能技术 3 .综合设计数据库 4 .设计管理器

3 2.1 概述 5.网络设计组及访问权限 6 .同步设计 7 .帮助系统 2.1.3 Protel99 SE 的基础知识
2.1 概述 5.网络设计组及访问权限 6 .同步设计 7 .帮助系统 Protel99 SE 的基础知识 1. Protel99 SE的运行环境 2 .Protel99 SE的安装 3 .Protel99 SE的文件组成 4 .Protel99 SE的文件类型

4 2.2 Protel99 SE基础知识 2.2.1 进入Protel99 SE原理图设计环境
标题栏 菜单栏 设 计 管理器 工具栏 编辑区 状态栏 命令栏 图2.1 Protel99 SE 原理图编辑器主窗口

5 2.2 Protel99 SE基础知识 2 .设计管理器 (a) (b) 图2.2 原理图设计管理器(a)文档浏览器;(b)元件库管理器

6 2.2 Protel99 SE基础知识 2.2.2 设计管理器 的使用 1. 设计数据库的新建、打开、关闭、删除 操作
2.2.2 设计管理器 的使用 1. 设计数据库的新建、打开、关闭、删除 操作 2 .设计数据库中文件的打开、复制、更名、删除操作 3 .增加、删除防问成员、删除设计成员以及权限设置修改 图2.3 新建设计数据库      图2.4 设置新建设计数据库密码

7 2.2 Protel99 SE基础知识 2.2.2 设计管理器 的使用 3 .增加、删除防问成员、删除设计成员以及权限设置修改
2.2.2 设计管理器 的使用 3 .增加、删除防问成员、删除设计成员以及权限设置修改 图2.5 增加设计数据库新成员 图2.6 新成员属性对话框 图2.7 成员权限设置修改对话框

8 2.2 Protel99 SE基础知识 2.2.3 设计环境设置 1. 通过Document Options窗口设置图纸、栅格和标题栏等
2.2.3 设计环境设置 1. 通过Document Options窗口设置图纸、栅格和标题栏等 图 2.8  Document Options窗口

9 2. 2 Protel99 SE基础知识 2.2.2 设计环境设置 2.通过Tool→ Preferences窗口设置原理图图形编辑环境
设计环境设置 2.通过Tool→ Preferences窗口设置原理图图形编辑环境 图2.9  “Organization”对话框

10 2. 2 Protel99 SE基础知识 2.2.2 设计环境设置 3. 通过设计管理器的浏览管理器设置元器件库
设计环境设置 3. 通过设计管理器的浏览管理器设置元器件库       图2.10 添加移去元器件对话框

11 2. 3 原理图设计(基础篇) 2.3.1 原理图设计对象 Protel 99SE原理图设计对象分为三类: 电气对象、绘制对象和指示对象
原理图设计(基础篇) 原理图设计对象 Protel 99SE原理图设计对象分为三类: 电气对象、绘制对象和指示对象 图2.11 放置电气对象 指示对象工具 图2.13 常见电气对象 图2.12 放置绘制对象工具

12 原理图设计(基础篇) 原理图设计流程 图 2.14   设计原理图流程

13 2. 3 原理图设计(基础篇) 2.3.3 原理图设计 1. 放置设计对象及对象属性编辑 2. 对象版面布局 3. 连接导线
原理图设计(基础篇) 原理图设计 1. 放置设计对象及对象属性编辑 2. 对象版面布局 3. 连接导线 4. 总线及网络标号 5. ERC及修改 6. 建立网络表及报表的生成 7. 存盘,输出

14 2. 3 原理图设计(基础篇) 2.3.4 原理图中常见的电气连接方式
原理图设计(基础篇) 原理图中常见的电气连接方式 在原理图中,各对象之间的电气连接用Wiring Tools工具栏中的 这三个工具进行连接。其中绝大多数用导线连接,也要用到总线及总线分支线连接。电气连接可以是原理图中直接的物理导线连接;也可以是网络标号之间的逻辑连接。网络标号名称相同的表示电气连接在一起。总线、总线分支线和网络标号需配合使用。其常见电气连接方式有以下一些: 元件引脚与元件引脚之间的连接。 连线与元件引脚之间的连接。 连线与连线之间的连接。 连线与端口之间的连接。

15 2. 3 原理图设计(基础篇) 2.3.4 原理图中常见的电气连接方式 网络标号与总线之间的连接(用总线分支线)。
原理图设计(基础篇) 原理图中常见的电气连接方式 网络标号与总线之间的连接(用总线分支线)。 网络标号与连线之间的连接(在连线上放置网络标号就相当于连线和网络标号连在一起)。 连线与层次图中方块电路端口之间的连接。 原理图中端口与端口之间的连接。 层次图中方块电路端口与端口之间的连接。 连线与电源或地端的连接(也可认为是网络标号)。 上述连接开式看似很多,但究其本质而言均属于管脚与管脚之间的连接。

16 2. 3 原理图设计(基础篇) 2.3.5 一些常用热键 PgUp: 放大视图。 PgDn: 缩小视图。 Home: 以光标为中心重画视图。
原理图设计(基础篇) 一些常用热键 在原理图的编辑过程中,大多是用鼠标操作,但在熟练的情况下使用键盘快捷键可大大提高效率,起到事半公倍作用。以下是一些常用原理图编辑的快捷键,供大家参考和掌握。 PgUp: 放大视图。 PgDn:   缩小视图。 Home:   以光标为中心重画视图。 End:    刷新视图。 Tab:  设计对象处于悬浮状态时,进行属性设置。 X:    设计对象处于悬浮状态时,左右镜像翻转。 Y:    设计对象处于悬浮状态时,垂直镜像翻转。

17 2. 3 原理图设计(基础篇) 2.3.5 一些常用热键 Spacebar: 设计对象处于悬浮状态时,逆时针旋转90o。
原理图设计(基础篇) 一些常用热键 Spacebar: 设计对象处于悬浮状态时,逆时针旋转90o。 ESC:   结束正在执行的操作。 Ctrl-TAB: 在Protel 99SE设计环境下进行多个打开的文件之间切换 Ctrl+Backspace:恢复操作。 Alt+Backspace: 撤销操作。 Ctrl+PgUn:  全屏幕显示电路及所有对象。 Ctr+lHome:  将光标跳回原点。 Shift+Insert:  粘贴。 Ctrl+Insert:   拷贝。

18 2. 3 原理图设计(基础篇) 2.3.5 一些常用热键 Shift+Delete: 剪切。 Ctrl+Delete: 删除。
原理图设计(基础篇) 一些常用热键 Shift+Delete:  剪切。 Ctrl+Delete:  删除。 Delete:    删除指定对象。 ←:      光标左移一个栅格。 →:      光标右移一个栅格。 ↑:      光标上移一个栅格。 ↓:      光标下移一个栅格。 Shift+←:    光标左移十个栅格。 Shift+→:    光标右移十个栅格。 Shift+↑:    光标上移十个栅格。 Shift+↓:    光标下移十个栅格。 Ctrl+1:     放大100倍。 Ctrl+2:     放大200倍。

19 2. 3 原理图设计(基础篇) 2.3.5 一些常用热键 Ctrl+4: 放大400倍。 Ctrl+5: 放大50倍。
原理图设计(基础篇) 一些常用热键 Ctrl+4:   放大400倍。 Ctrl+5:   放大50倍。 Ctrl+F:   查找文本。 Ctrl+G:   查找并替换文本。 Ctrl+V:   以实体中心为参考点,水平方向对齐。 Ctrl+B:   以实体底边为参考点,水平方向对齐。 Ctrl+T:   以实体顶边为参考点,水平方向对齐。

20 2. 3 原理图设计(基础篇) 2.3.5 一些常用热键 Ctrl+Shift+H:水平方向等间距对齐实体。
原理图设计(基础篇) 一些常用热键 Ctrl+Shift+H:水平方向等间距对齐实体。 Ctrl+H:   以实体中心为参考点,垂直方向对齐。 Ctrl+L:   以实体左边为参考点,垂直方向对齐。 Ctrl+R:   以实体右边为参考点,垂直方向对齐。 Ctrl+Shift+V: 垂直方向等间距对齐实体。 F1:     启动帮助菜单。 F3:     寻找下一个文本。 Shift+F4:   平铺所有打开的文件。 Shift+F5:   级联所有打开的文件。

21 2. 3 原理图设计(基础篇) 2.3.6 电路原理图的编辑 编辑原理图指将对象放到编辑区后到原理图完成的一列操作。归纳以下四种操作。
原理图设计(基础篇) 电路原理图的编辑 编辑原理图指将对象放到编辑区后到原理图完成的一列操作。归纳以下四种操作。 1. 基本操作 (1) 选择与取消选择 菜单Edit→Select用于选择对象,使之处于选中状态。Select下的二级子菜单: Inside Area:选取鼠标定义区域内的对象。选取方法是用鼠标拖动框住需选择对象。 Outside Area:选取鼠标定义区域外的对象。选取方法是用鼠标拖动框住需选择对象。 All:选择全部对象。 Net :选择网络。选择方法是用鼠标单击导线或网络标号。 Connection:选择导线。选择方法是用鼠标单击导线。 操作。可用该命令恢复撤消的操作。

22 原理图设计(基础篇) 电路原理图的编辑 撤消与恢复菜单Edit→Undo:撤消前次操作。菜单Edit→Redo:恢复前次 (3) 剪切、复制、粘帖与粘帖阵列 菜单Edit→Cut:剪切,将所选对象剪切到剪帖板,原来对象删除。 菜单Edit→Copy:复制,将所选对象复制到剪帖板,原来对象仍存在。 菜单Edit→Paste:粘帖,将剪帖板中内容粘帖到当前位置。 菜单Edit→Paste Array:阵列粘帖,将剪帖板中对象以阵列方式粘帖到图纸中。操作方法:选中该命令(若剪帖板中无内容需先将所要粘帖对象复制至剪帖板),将弹出如图2.45所示对话框,在窗口中对Item Count—粘帖数量,Text—序号间隔数字,Horizontal—水平间隔,Vertical—垂直间隔进行设置,单击OK即可。

23 2. 3 原理图设计(基础篇) 2.3.6 电路原理图的编辑 (4) 删除与清除
原理图设计(基础篇) 电路原理图的编辑 (4) 删除与清除 菜单Delete命令直接遂个删除对象,而菜单Clear需先选中要删除的对象再执行此命令,它可同时删除多个对象。 图 2.15    电容阵列粘帖 2. 查找与替换操作 (1) 查找 菜单Edit→Find Text用于查找字符串。执行此命令后弹现如图2.46所示对话框。 图2.16 查找字符串对话框

24 2. 3 原理图设计(基础篇) 2.3.6 电路原理图的编辑 Text to find:输入要查找的字符串。 Scop:设置查找范围。
原理图设计(基础篇) 电路原理图的编辑 Text to find:输入要查找的字符串。 Scop:设置查找范围。 在Sheet下拉列表框中选择原理图;在Selection下拉列表框中选择对象。 设定完毕单击OK即开始进行查找。 (2) 替换 菜单Replace Text用于查找并替换字符串。 并设置替换时是否需要提示(Prompt on Replace)。 (3) 查找下一个字符串 菜单Find Next用于查找字符串过程中的下一个字符。

25 2. 3 原理图设计(基础篇) 2.3.6 电路原理图的编辑 4. 其它操作 (1) 更改多元件芯片的元件序号
原理图设计(基础篇) 电路原理图的编辑 4. 其它操作 (1) 更改多元件芯片的元件序号   菜单Inctement Part number用于更改多元件芯片中元件的编辑。其操作为:执行本菜单命令后,光标变十字型。然后单击多元件芯片中的元件。则该元件的编号将随单击而不断的循环变化。 (2) 统计对象列表   菜单Expert to Spread用于将原理图中所有元件和它们的属性以电子形式统计。

26 2. 4 原理图设计(提高篇) 层次电路图设计 层次电路是把一个较大的电路原理图从功能上或别的方式分成几个模块(用方块图表示),而每一个模块还可类似地再分子模块。每一个基本模块用一张原理图描述。利用该方法设计电路,一方面,可使设计者从总体结构上把握电路,加深对电路理解;与此同时,若需改动电路的某一细节,可以只对相关的底层电路(子模块图)进行修改,并不影响整个电路的结构;另一方面,各个基本模块可由设计组成员分工完成,以提高设计效率。 1.    层次电路设计方法 层次电路设计可采取自上而下(从系统开始,逐级向下)或自下而上(从基本单元电路开始,逐级向上)的方法进行设计。

27 2. 4 原理图设计(提高篇) 2.4.1 层次电路图设计 2. 层次电路的结构
2. 4 原理图设计(提高篇) 层次电路图设计 2.    层次电路的结构 层次电路的结构类似于Windows中的目录树结构,如下图所示。 项目(主方块 ) 子图(方块对应的原理图) 图2.17 层次电路结构

28 2. 4 原理图设计(提高篇) 2.4.1 层次电路图设计 3. 层次电路设计 (1) 新建设计数据库文件、项目文件及模块文件
2. 4 原理图设计(提高篇) 层次电路图设计 3. 层次电路设计 (1) 新建设计数据库文件、项目文件及模块文件 (2) 绘制方块电路 (3)放置方块电路端口并编辑其属性 (4)连接各方块电路 (5)模块具体化 (6)上下层电路之间的切换

29 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 1. 绘制元件工具
2. 4 原理图设计(提高篇) 元器件库编辑器 1.  绘制元件工具 一般画图工具与前面在原理图设计提到的画图工具类似,略有区别,只有以下三个工具按钮不同,如图2.18所示。 (1) 一般画图工具 :添加新元件。 :添加多元件芯片中的元件。 :放置引脚。 图2.18 画元件工具箱

30 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 (2) 特殊画图工具 特殊工具就是IEEE(美电气工程师协会)绘图工具,
2. 4 原理图设计(提高篇) 元器件库编辑器 (2) 特殊画图工具 特殊工具就是IEEE(美电气工程师协会)绘图工具, 如图2.19所示,各个IEEE工具说明如下: :圆圈符号。          :低电平有效输出符号。 :由右向左符号。       :圆周率л符号。 :上升沿触发的时钟符号。   :大于等于符号。 :低电平有效的输入符号。   :无源上拉输出符号。 :模拟输入符号。 :发射极开路输出符号。 :无逻辑连接符号。 :无源下拉输出。 :延迟输出符号。 :数字信号输入符号。

31 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 :集电极开路输出符号。 :反相器符号。 :三态输出符号。 :双向符号。
2. 4 原理图设计(提高篇) 元器件库编辑器 :集电极开路输出符号。 :反相器符号。 :三态输出符号。 :双向符号。 :缓冲输出/驱动符号。     :数据左移符号。 :脉冲符号。 :小于等于符号。 :延时符号。 :求和符号。 :并行IO线组合符号。 :施密特触发器符号。 :二进制组合符号。 : 数据右移符号。 图2.19 IEEE绘图工具箱

32 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 2. 元器件的新建 启动元器件编辑器
2. 4 原理图设计(提高篇) 元器件库编辑器 2. 元器件的新建 启动元器件编辑器 进入Portel 99SE 执行菜单File→New,在弹出的新建文件框中选中“Schematic library Document”图标,单击“OK”即可启动元器件编辑器。如图2.20所示。元器件库编辑器的主界面与原理图编辑器主界面有些相似,区别在于菜单功能不同、编辑区分为四个象限,绘制元器件在第四象限原点附近进行(画好后在原理图中放置时才会近粘在鼠标上)

33 2. 4 原理图设计(提高篇) 元器件库编辑器 元器件库管理器 图2.20 元器件库编辑器主界面

34 2. 4 原理图设计(提高篇) 元器件库编辑器 (2) 新建元器件库 新建元器件流程 图2.21 新建元器件流程

35 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 3. 元器件的管理 (1) 利用设计管理器管理元件
2. 4 原理图设计(提高篇) 元器件库编辑器 3. 元器件的管理 (1) 利用设计管理器管理元件 元件管理器由四个区域组成:Components(元件)区域、Group(组)区域、Pins(管脚)区域、Mode(元件模式)区域,如图2.22所示。 Components区域:主要功能查找、过滤、选取元件。 Group区域:用于查找、选择共用元件集,共用元件集指一组元件共用一个元件图形符号。 Pins区域:该区用于显示元件引脚的信息。 Mode区域:该区域指定元件模式,有三种模式即:Normal、De-Morgan和IEEE。 图222 引脚属性对话框

36 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 (2) 利用Tools菜单管理元件
2. 4 原理图设计(提高篇) 元器件库编辑器 (2) 利用Tools菜单管理元件 菜单管理元件与元件管理器管理元件类似,方便简单,只是Tools菜单全面一些,(有几项在元件管理器中是没有的)。 New Component:     建立元件。 Remove Component:    删除元件管理器中选择的元件。 Rename Component:    修改元件管理器中选择的元件。           Remove Component Name: 删除元件管理器中指定元件组中的元件名。 Add Component Name:   向元件组中添加元件。 Copy Component:     复制元件管理器中选择的元件。 Move Component:     将元件管理器的元件移动到指定的元件库中。 New Part:        向多元件芯片中添加元件。图 Remove Part :      删除多元件芯片中的元件。 Next Part:        切换到多元件芯片中的下一个元件。 Prev Part:        切换到多元件芯片中的前一个元件。 Next Component:     切换到下一个元件。 Prev Component:     切换到前一个元件。 First Component:     切换到第一个元件。 Last Component:     切换到最后一个元件。 Show Normal:   显示元件的Normal模式。 Show De-Morgan:     显示元件的Show De-Morgan模式。 Show IEEE:        显示元件的IEEE模式。 Find Component:      查找元件。 Description:        输入元件文字描述。 Remove Duplicates:     删除元件库中的重复元件名。 Update Schematics:     更新原理图中的元件。

37 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 4. 元器件绘制实作
2. 4 原理图设计(提高篇) 元器件库编辑器 4. 元器件绘制实作 下面以2-5-10进制计数器74L2S90的制作为例来介绍元器件编辑器的综合应用。 (1)  新建元器件库,进入Protel 99SE,单击菜单“File”→“New”,在弹出中的窗口中选择“Schematic Library Document”图标,新建一个元器件库,其缺省名为Schlib.lib。通过文档管理器将其更名为Mylib.lib。然后在设计管理器中双击该元件库,就进入元器件库编辑器的主界面。 (2) 元件更名,此时在Mylib.lib中已有一个名为Component_1的元件了,执行菜单“Tools” →“Rename Component”,将其更名为74LS290。 (3)  环境参数设置(与原理图中类似设置), (4) 调整视图大小,按Page Up键将窗口放大,放大到能清楚看见可视栅格。并执行菜单“Edit” →“Jump” →“Origin”,将光标定位到原点处。 绘制元件外形,在绘图工具箱中单击 图标,一个矩形方块跟随鼠标移到,将方块放到第四象限,并使方块左上角与坐标原点重合。单击鼠标左键固定左上角,再拖动鼠标到合适位置单击左键确定方块右下角,单击鼠标右键或按Esc键退出画矩形状态。绘制好的矩形方块如图2.62所示。

38 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 4. 元器件绘制实作 图2.23 画完的矩形方块 图2.24 放置管脚
2. 4 原理图设计(提高篇) 元器件库编辑器 4. 元器件绘制实作 图2.23 画完的矩形方块   图2.24 放置管脚 (6)放置管脚,单击工具箱中的 图标,鼠标变成十字形,并粘有一管脚。将鼠标移到该放管脚的地方,单击鼠标左键逐个放置管脚(用空格键及X键调整其方向)。如图2.24所示。 (7)编辑管脚名称 软件自动为每个管脚加上名称(Name)、序号(Number),但与我们所需要的不符,所以须进行修改。双击管脚或单击不松同时按Tab键,将弹出管脚属性对话框,在里面对每个管脚属性进行编辑如下:

39 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 4. 元器件绘制实作
2. 4 原理图设计(提高篇) 元器件库编辑器 4. 元器件绘制实作 管脚12及13:异步清0端,Name=R0(1)、R0(2),Electrical Type=Input 管脚1及3:异步置9端, Name =S9(1)、S9(2),Electrical Type=Input 管脚10及11:时钟脉冲触发端(用“^”符号表示),下降沿有效,(用“O”表示),故 Name=CKA、CKB,选中Dot Symbol 和Clk Symbol 两个复选框。Electrical Type=Input 管脚14:电源端,Name=VCC, Electrical Type=Power。 管脚7:地线端,Name=GND,Electrical Type=Power。 管脚8、4、5、9均为输出端,其Name分别为:QD、QC、QB、QA,Electrical Type=Output 经以上设置管脚后的元件图如图2.25所示。 图2.25 设置好引脚的74LS290

40 2. 4 原理图设计(提高篇) 2.4.2 元器件库编辑器 4. 元器件绘制实作
2. 4 原理图设计(提高篇) 元器件库编辑器 4. 元器件绘制实作 (8)  设置元件黙认属性:单击元件管理器中的Description按钮或执行菜单“Tools”→“Description”, 图2.64 设置好引脚的74LS290 将弹出元器件黙认属性对话框,针对元件74LS290,在对话框中输入如图2.26所示内容。 (9) 保存元件,当元件设计完成后,单击存盘按钮,将元件存入元件库。最后完成的具有双时钟脉冲触发的异步 进制计数器如图2.27所示。 图2.26 元器件库中元件的黙认属性设置对话框 图2.27 全部完成的元件74LS290


Download ppt "第二章 应用Protel99 SE设计电路原理图"

Similar presentations


Ads by Google