Presentation is loading. Please wait.

Presentation is loading. Please wait.

數位邏輯設計 題目:七段顯示器 姓名:黃志民 學號:B09322033 數位邏輯設計.

Similar presentations


Presentation on theme: "數位邏輯設計 題目:七段顯示器 姓名:黃志民 學號:B09322033 數位邏輯設計."— Presentation transcript:

1 數位邏輯設計 題目:七段顯示器 姓名:黃志民 學號:B 數位邏輯設計

2 簡介 本實驗是將 4 位元二進碼轉換至七 段顯示器顯示,七段顯示電路本身 就是解碼器的一種,因此設計方法與 BCD解碼電路相同。
原理與分析 此實驗是運用Synplify 軟體之VHDL中行為模式的“CASE”條件敘述來完成,CASE敘述是當條件的數值“0000”時seg<=即執行敘述“ ” 。

3 二進制轉換成七段顯示器系統方塊圖 g AI3 f e AI2 Binary convert to 7 segment d display

4 七段顯示器真值表 AI3 AI2 AI1 AI0 a b c d e f g 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1
U U U U U U U U U U U U U U

5 開始打開Syplify程式

6 new一個Project,然後開始寫七段顯示器程式

7

8 寫完電路程式然後開始儲存檔案,記的檔案名稱必須和
程式中的相同,並且檔尾是vhd做結尾

9 開始Run一次程式,然後可以選擇並看到電路圖

10 可以看到模擬的電路圖

11 打開Lattice程式

12 按右鍵選擇輸入vhd檔案

13 打開檔案之後,可看到剛剛打的程式

14 按Veiw之後,可看到模擬電路

15 按下Start開始設立腳位

16 輸出腳位為 輸入腳位為

17 按下這個鍵,開始進行燒錄視窗

18 按下SCAN鍵,開始搜尋板子

19 按下Browse鍵輸入剛剛存取的JED檔

20 按下GO鍵,開始進行燒入

21 a b c d e f g

22

23

24

25

26

27

28

29

30

31 實驗成功 THE END


Download ppt "數位邏輯設計 題目:七段顯示器 姓名:黃志民 學號:B09322033 數位邏輯設計."

Similar presentations


Ads by Google