Presentation is loading. Please wait.

Presentation is loading. Please wait.

第10章 触发器和时序逻辑电路 10.1 触发器 10.2 计数器 10.3 寄存器 10.4 555定时器.

Similar presentations


Presentation on theme: "第10章 触发器和时序逻辑电路 10.1 触发器 10.2 计数器 10.3 寄存器 10.4 555定时器."— Presentation transcript:

1 第10章 触发器和时序逻辑电路 10.1 触发器 10.2 计数器 10.3 寄存器 定时器

2 学习目的与要求 了解基本触发器的功能及其分析方法;熟悉RS触发器、D触发器、JK触发器、T触发器等的工作原理及逻辑功能,理解触发器的的记忆作用,掌握各种触发器功能的四种描述方法;熟悉时序逻辑电路的基本分析方法和步骤;理解同步、异步时序逻辑电路的特点;掌握计数器、寄存器的概念和功能,熟悉它们的分析方法。

3 10.1 触发器 时序逻辑电路与组合逻辑电路并驾齐驱,是数字电路两 大重要分支之一。时序逻辑电路的显著特点是:电路任何
触发器 时序逻辑电路与组合逻辑电路并驾齐驱,是数字电路两 大重要分支之一。时序逻辑电路的显著特点是:电路任何 一个时刻的输出状态不仅取决于当时的输入信号,还与电 路原来的状态有关。因此,时序电路必须含有具有记忆功 能的存储器件。 门电路是组合逻辑电路的基本单元,时序逻辑电路的基本单元则是我们本章要重点介绍的触发器。触发器具有记忆功能,可用来保存二进制信息。 由于触发器是时序逻辑电路的基本单元,因此它在时序逻辑电路中必不可少,有些类型的时序逻辑电路除了触发器,还含有一些组合逻辑门。本章介绍的计数器、寄存器与移位寄存器是时序逻辑电路的具体应用。

4 正常情况下,两个输出端子应保持互非状态。
触发器是可以记忆1位二值信号的逻辑电路部件。根据 逻辑功能的不同,触发器可以分为RS触发器、JK触发器、 D触发器、T和T´触发器。 1. 基本RS触发器 基本RS触发器是任何结构复杂的触发器必须包含的一个 最基础的组成单元,它可以由两个与非门或两个或非门交 叉连接构成。例如由两个与非门构成的RS触发器: Q Q 正常情况下,两个输出端子应保持互非状态。 & & 字母上面 横杠表示 低电平有效 触发器的两个稳定状态: 门1 门2 输出端Q=1时,触发器为1态; 输出端Q=0时,触发器处0态。 一对互非的 输入端子 R S

5 (1)基本RS触发器的工作原理 1 次态Q n+1=0, Q n+1=1 次态Q n+1=0, Q n+1=1 & Q R S 门1 门2
1 1 触发器现态Qn=1,R=0, S=1 次态Q n+1=0, Q n+1=1 触发器状态由1变为0,置0功能! 1 触发器现态Qn=0,R=0, S=1 1 次态Q n+1=0, Q n+1=1 触发器状态不变,仍为置0功能! 有0出1 全1出0 归纳:基本的RS触发器的两个与非门通过反馈线交叉组合 在一起。只要两个输入端状态不同且输入端R=0,无论输 出现态如何,次态总是为0,因此通常把R称作清零端。

6 (1)基本RS触发器的工作原理 1 次态Q n+1=1, Q n+1=0 次态Q n+1=1, Q n+1=0 & Q R S 门1 门2
1 1 2 触发器现态Qn=0,R=1, S=0 次态Q n+1=1, Q n+1=0 触发器状态由0变为1,置1功能! 1 触发器现态Qn=1,R=1, S=0 1 次态Q n+1=1, Q n+1=0 有0出1 触发器状态不变,仍为置1功能! 全1出0 归纳:只要基本RS触发器的两个输入端状态不同且输入端S=0处低电平有效态,无论输出现态如何,次态总是为1,因此通常把S称作置1端。

7 (1)基本RS触发器的工作原理 1 1 次态Q n+1=0, Q n+1=1 次态Q n+1=1, Q n+1=0
& Q R S 门1 门2 1 1 1 3 触发器现态Qn=0,R=1, S=1 次态Q n+1=0, Q n+1=1 触发器状态不变,保持功能! 1 1 触发器现态Qn=1,R=1, S=1 1 1 次态Q n+1=1, Q n+1=0 全1出0 有0出1 触发器状态不变,保持功能! 全1出0 有0出1 归纳:当基本RS触发器的两输入端状态相同均为1时,都 处无效状态。输出不会发生改变,继续保持原来的状态。 因此在两个输入端同时为高电平时触发器起保持功能。

8 (1)基本RS触发器的工作原理 1 1 次态Q n+1=1, Q n+1=1 归纳:当基本RS触发器的两输入状态相同均为0时,都处 & Q
门1 门2 1 1 4 触发器现态Qn=0,R=0, S=0 次态Q n+1=1, Q n+1=1 触发器的两个互非输出端 出现相同的逻辑混乱情况, 显然这是触发器正常工作条 件下不允许发生的,因此必 须加以防范。 有0出1 有0出1 归纳:当基本RS触发器的两输入状态相同均为0时,都处 有效状态,此时互非输出无法正确选择指令而发生逻辑混 乱。我们把两输入同时为0的状态称为禁止态,电路正常工 作时不允许此情况发生。

9 (2)基本RS触发器逻辑功能的描述 ① 特征方程 ② 状态图 触发器的逻辑功能通常可用特征方程、状态图、真值表 和波形图进行描述。
Q n+1 = S + R • Q n S + R= 1 (约束条件) 由于基本RS触发器不允许输入同时为低电平,所以加一 约束条件。 ② 状态图 1 触发器的“0”态 触发器的“1”态 状态图可直观反映出触发器状态转换条件与状态转换结 果之间的关系,是时序逻辑电路分析中的重要工具之一。

10 ③ 功能真值表 Q n+1 功能真值表以表格的形式反映了触发器从现态Qn向次态 Qn+1转移的规律。这种方法很适合在时序逻辑电路的分析
禁止态 0 “置0” 1 “置1” 0 保持 1 保持 功能真值表以表格的形式反映了触发器从现态Qn向次态 Qn+1转移的规律。这种方法很适合在时序逻辑电路的分析 中使用。

11 ④ 时序波形图 Q Q 保持 置1 置0 置1 禁止 不定 置1 置1 反映触发器输入信号取值和状态之间对应关系的线段图形称为时序波形图。

12 在数字电路中,凡根据输入信号R、S情况的不 同,具有置0、置1和保持功能的电路,都称为RS
触发器。常用的集成RS触发器芯片有74LS279和 CC4044等。下图为它们的管脚排列图: 16 15 14 13 12 11 10 9 74LS279 1 2 3 4 5 6 7 8 V CC S R Q A B Q GND CC4044 DD NC EN S V SS S R Q Q 基本RS触发器的 逻辑电路图符号

13 2. 钟控RS触发器 (1)钟控RS触发器的结构组成及工作原理 具有时钟脉冲控制端的RS触发器称为钟控RS触发器,也
入信号的变化,还受时钟脉冲CP的控制。 (1)钟控RS触发器的结构组成及工作原理 门1和门2构成基本的RS触发器 Q Q & 门2 门1 直接置“0”端 直接置“1”端 RD SD 门3和门4构成RS引导触发器 & 门3 门4 置“0”输入端 高电平有效 置“1”输入端 高电平有效 R CP S CP端子称为时钟脉冲控制端。CP=0时无论RS 何态,触发器均保持原态;CP=1时触发器输出状态由R和S状态决定。

14 钟控RS触发器的工作原理 设触发器现态Qn=0,Qn=1。正常情况下,直接置0、置1端悬空为“1”。 门1 门2 触发器状态不变, 门3
当时钟脉冲CP=0时的情况: & 门2 门1 SD RD 门3 门4 R S Q 设触发器现态Qn=0,Qn=1。正常情况下,直接置0、置1端悬空为“1”。 1 1 触发器次态Qn+1=0,Qn+1=1 1 1 1 1 1 门2全1出0 触发器状态不变, 保持功能! 门3和门4因 CP=0而有0出1 CP 门1有0出1

15 归纳:当钟控RS触发器的时钟脉冲控制端状态为低电平“0”
1 当时钟脉冲CP=0时的情况: 若触发器现态Qn=1,Qn=0时: CP & 门2 门1 SD RD 门3 门4 R S Q 1 1 触发器次态Qn+1=1,Qn+1=0 1 1 1 触发器状态不变, 保持功能! 1 1 1 门2 有0出1 门1 全1出0 门3和门4仍因 CP=0而有0出1 归纳:当钟控RS触发器的时钟脉冲控制端状态为低电平“0” 时,无论两输入状态或输出现态如何,触发器均保持原来 的状态不变!换句话说:在CP=0期间钟控RS触发器不能被 触发,因此状态无法改变,为保持功能。

16 钟控RS触发器的工作原理 门2 门1 门3 门4 时钟脉冲CP=1时的情况: 1)当输入R=0,S=1时 1 1
& 门2 门1 SD RD 门3 门4 R S Q 1 1 设触发器现态Qn=1,Qn=0 触发器次态Qn+1=1,Qn+1=0 1 1 1 1 1 触发器状态不变, 置1功能! 门2 有0出1 门1 全1出0 门4 全1出0 此时门3有0出1 CP 1 1

17 归纳:当时钟脉冲控制端状态为高电平“1”时,电路被触
钟控RS触发器的工作原理 2 时钟脉冲CP=1时的情况: & 门2 门1 SD RD 门3 门4 R S Q 1)当输入R=0,S=1时 1 1 设触发器现态Qn=0,Qn=1 触发器次态Qn+1=1,Qn+1=0 1 1 1 触发器状态由0翻转为1,置1功能! 1 1 门2 有0出1 门1 全1出0 门4 全1出0 此时门3有0出1 CP 1 1 归纳:当时钟脉冲控制端状态为高电平“1”时,电路被触 发,输出次态随着两输入状态及输出现态发生改变。此时 只要输入R=0、S=1,无论输出现态如何,钟控RS触发器 均为置1功能。为此把S称为置1端,高电平有效。

18 钟控RS触发器的工作原理 门2 门1 门3 门4 时钟脉冲CP=1时的情况: 2)当输入R=1,S=0时 1 1
& 门2 门1 SD RD 门3 门4 R S Q 1 1 设触发器现态Qn=1,Qn=0 触发器次态Qn+1=0,Qn+1=1 1 1 1 1 1 触发器状态由1改变为0,置0功能! 门1 有0出1 门2 全1出0 此时门4有0出1 门3 全1出0 1 CP 1

19 归纳:当时钟脉冲控制端状态为高电平“1”时,电路被触
钟控RS触发器的工作原理 2 时钟脉冲CP=1时的情况: 2)当输入R=1,S=0时 & 门2 门1 SD RD 门3 门4 R S Q 1 1 设触发器现态Qn=0,Qn=1 触发器次态Qn+1=0,Qn+1=1 1 1 1 1 1 触发器状态不变, 仍为置0功能! 门1 有0出1 门2 全1出0 此时门4有0出1 门3 全1出0 1 CP 1 归纳:当时钟脉冲控制端状态为高电平“1”时,电路被触 发,输出次态随着两输入状态及输出现态发生改变。此时 只要输入R=1、S=0,无论输出现态如何,钟控RS触发器 均为置0功能。为此把R称为置0端,高电平有效。

20 钟控RS触发器的工作原理 门2 门1 门3 门4 时钟脉冲CP=1时的情况: 3)当输入R=0,S=0时 1 1
& 门2 门1 SD RD 门3 门4 R S Q 1 1 设触发器现态Qn=1,Qn=0 触发器次态Qn+1=1,Qn+1=0 1 1 1 1 1 1 触发器状态不变, 保持功能! 门1 全1出0 门2 有0出1 此时门4有0出1 门3也是 有0出1 CP 1

21 归纳:当时钟脉冲控制端状态为“1”时,电路被触发。但
钟控RS触发器的工作原理 2 时钟脉冲CP=1时的情况: 3)当输入R=0,S=0时 & 门2 门1 SD RD 门3 门4 R S Q 1 1 设触发器现态Qn=0,Qn=1 触发器次态Qn+1=0,Qn+1=1 1 1 1 1 1 1 触发器状态不变, 保持功能! 门1 有0出1 门2 全1出0 此时门4有0出1 门3也是 有0出1 CP 1 归纳:当时钟脉冲控制端状态为“1”时,电路被触发。但 是,当R和S均等于0为无效态时,则无论输出现态如何, 输出次态均不发生改变,此时称触发器为保持功能。

22 归纳:钟控RS触发器输入状态均为1时,都处有效状态,
2 时钟脉冲CP=1时的情况: 3)当输入R=1,S=1时 & 门2 门1 SD RD 门3 门4 R S Q 1 1 1 设触发器现态Qn=1,Qn=0 触发器次态Qn+1=1,Qn+1=1 1 1 1 本该互非的两个输出端状态相同,出现了逻辑混乱,这显然在正常工作中视为禁止态! 门1也 有0出1 门2 有0出1 此时门4全1出0 门3也是 全1出0 1 CP 1 1 归纳:钟控RS触发器输入状态均为1时,都处有效状态, 此时互非输出无法正确选择指令而发生逻辑混乱。我们把 两输入同时为1的状态称为禁止态。

23 (2)钟控RS触发器逻辑功能的描述 ① 特征方程 ② 状态图 Q n+1 = S + R • Q n (约束条件) S·R=0
也要加上一个约束条件。 ② 状态图 1 触发器的“0”态 触发器的“1”态

24 ③ 功能真值表 R S Qn Q n+1 0 0 0 0 保持 0 0 1 1 保持 0 1 0 1 “置1” 0 1 1 1 0 0
0 保持 1 保持 1 “置1” 0 “置0” 禁止态

25 ④ 时序波形图 在时钟脉冲CP=1期间, 其中 输出随输入发生多次翻转的现象称为空翻。空翻易造成
引导门关闭 ④ 时序波形图 CP=1期间 引导门打开 CP R S 保持 置1 状态不变 状态不变 设Qn=0 Q 状态不变 置0 置1 置0 置1 置0 在时钟脉冲CP=1期间, 其中 输出随输入发生多次翻转的现象称为空翻。空翻易造成 触发器的可靠性降低,甚至无法判定触发器工作状态。

26 采用电位触发方式的钟控RS触发器存在“空翻”问题。为 确保数字系统的可靠工作,要求触发器在一个CP脉冲期间
显然,钟控的RS触发器只有在时钟脉冲CP=1期间才能触发而使状态发生改变,因此,钟控RS触发器属于电位触发方式。钟控RS触发器的电路图符号如下图所示: S C1 R Q S、R两输入端无小圆圈说明 高电平有效 小圆圈表示 低电平有效 采用电位触发方式的钟控RS触发器存在“空翻”问题。为 确保数字系统的可靠工作,要求触发器在一个CP脉冲期间 至多翻转一次,即不允许空翻现象的出现。为此,人们研 制出了边沿触发方式的主从型JK触发器和维持阻塞型的D 触发器等等。这些触发器由于只在时钟脉冲边沿到来时发 生翻转,从而有效地抑制了空翻现象。

27 3. JK触发器 (1) 电路组成 边沿触发的主从型JK触发器是目前功能最完善、使用较灵活和通用性较强的一种触发器。
主触发器 边沿触发的主从型JK触发器是目前功能最完善、使用较灵活和通用性较强的一种触发器。 K J CP Q1 & 门6 门5 门7 门8 RD SD 门2 门1 门3 门4 Q 1 (1) 电路组成 图示为主从型JK触发器逻辑电路结构图。其中门1~门4构成主触发器,输入通过一个非门和CP控制端相连。 从触发器 门5~门8构成从触发器,从触 发器直接与CP控制端相连。 主触发器Q端与门7的一个输入 相连,Q端和门8的一个输入端 相连,构成两条反馈线。

28 (2) JK触发器的工作原理 CP=1期间: 设输出现态Q=1、J=1,K=0 主触发器因CP=0被封锁,输 出状态保持不变。
& 门6 门5 门7 门8 RD SD 门2 门1 门3 门4 Q 1 1 1 CP=1期间: 设输出现态Q=1、J=1,K=0 主触发器因CP=0被封锁,输 出状态保持不变。 1 1 从触发器由于CP=1被触发, 其输出次态Q1n+1随着JK输入端 的变化而改变。 1 1 从触发器把CP=1时的状 态记忆下来,在CP下跳 沿到来时作为输入状态送 入主触发器中。 1 1 1 1 门6 有0出1 门5 全1出0 1 1 门8 有0出1

29 (2) JK触发器的工作原理 CP下跳沿到来时: 从触发器因CP=0被封锁,输 出状态保持不变。 主触发器由于CP=1被触
Q1 & 门6 门5 门7 门8 RD SD 门2 门1 门3 门4 Q 1 1 1 2 CP下跳沿到来时: 从触发器因CP=0被封锁,输 出状态保持不变。 1 1 1 1 主触发器由于CP=1被触 发,其输出次态Qn+1随着 输入端的变化而改变。 门2 有0出1 门1 全1出0 1 1 1 显然JK触发器在CP下跳沿 到来时输出状态发生改变, 且此状态一直保持到下一个 时钟脉冲下跳沿的到来。 门3 有0出1 1 1 为什么在CP=0期间输出状态不变? 1

30 (2) JK触发器的工作原理 显然边沿触发的主从型JK触发器有效地抑制了“空翻”现 象。在时钟脉冲CP下降沿到来时,其输出、输入端子之间
的对应关系为: ①J=0,K=0时,触发器无论现态如何,次态Qn+1=Qn, 保持功能; ②当J=1,K=0时,无论触发器现态如何,次态Qn+1=1, 置1功能; ③当J=0,K=1时,无论触发器现态如何,次态Qn+1=0; 置0功能; ④当J=1,K=1时,无论触发器现态如何,次态Qn+1= Qn,翻转功能。 结论:JK不同时,输出次态总是随着J的变化而变化;JK均 为0时,输出保持不变;JK均为1时,输出发生翻转。

31 (3) 常用集成JK触发器 号有下降沿触发的双JK触发器74LS112、上升沿触发的双
JK触发器CC4027和共用置1、清0端的74LS276四JK触发器 等。74LS112双JK触发器每片芯片包含两个具有复位、置位 端的下降沿触发的JK触发器,通常用于缓冲触发器、计数 器和移位寄存器电路中。 下图所示为其管脚排列图: 16 15 14 13 12 1 10 9 74LS112 2 3 4 5 6 7 8 V CC D R 2CP 2K 2J S Q CP K J GND 芯片型号中含有74表示 TTL集成芯片;含有CC或 CD表示CMOS集成芯片。

32 JK触发器逻辑功能的描述 ① 特征方程 ② 状态图 1 S R C1 1K 1J RD SD CP K J Q 此符号表示 边沿触发
电路图符号 ② 状态图 加圈表示 下降沿触发 11,10 00 01 1 00 10 01,11 触发器的“1”态 触发器的“0”态

33 ③JK触发器功能真值表 CP J K Qn Qn+1 功能 保持 1 置“0” 置“1” 翻转

34 ④JK触发器时序波形图 归纳JK触发器的特点: ①边沿触发,即CP边沿到来时触发。 ②具有置0、置1、保持、翻转四种功能,能够有效地抑制
空翻现象。 ③使用方便灵活,抗干扰能力极强,工作速度很高。

35 4. D触发器 1 1 D D 维持阻塞型D触发器的逻辑电路图如下所示: Q
CP & 门6 门5 RD SD 门2 门1 门3 门4 Q 图中门1~门4构成钟控RS触发器,门5和门6构成输入信号的导引门,D是输入信号端。直接置0和置1端正常工作时保持高电平。 1 1 维持阻塞D触发器利用电路内部反馈来实现边沿触发。 D D 当CP=0时,门3和门4的输出 为1,使钟控RS触发器的状态维 持不变。此时,门6的输出等于 D,门5的输出等于D。 反馈线 反馈线

36 维持—阻塞D触发器的工作原理 D D D 1 1 D 1 D 1 当CP上升沿到来时刻,门5、门6的输出进入门3和门4… Q
& 门6 门5 RD SD 门2 门1 门3 门4 Q 显然,维持阻塞D触发器的输出随着输入D的变化而变化,且在时钟脉冲上升沿到来时触发。 D D D 1 1 由维持阻塞D触发器的逻辑电路可知,触发器的状态在CP上升沿到来时可以维持原来输入信号D的作用结果,而输入信号的变化在此时被有效地阻塞掉了。 当D=1时,全1出0; 当D=0时,有0出1。 D 1 D 1 当D=1时,全1出0; 当D=0时,有0出1。

37 D触发器逻辑功能的描述 ① 特征方程 ② 状态图 1 S R C1 D RD SD CP Q D触发器 电路图符号 不加圈表示 上升沿触发
1 D=0 D=1 D=0 触发器的“0”态 触发器的“1”态

38 维持阻塞型D触发器具有置“1”和置“0”功能,且输出随输入的变化只在时钟脉冲上升沿到来时触发。常用的集成D触发器有双D触发器74LS74、四D触发器74LS75和六D触发器74LS176等。下图所示为74LS74的管脚排列图: D触发器的功能真值表 CP D Qn+1 功能 置0 1 置1 归纳D触发器的特点: ①CP上升沿到来时触发,可有效地抑制空翻。 ②具有置0、置1两种功能,且输出跟随输入的变化。 ③使用方便灵活,抗干扰能力极强,工作速度很高。

39 5. T触发器和T′触发器 归纳:触发器是时序逻辑电路的基本单元。常用的有RS、 (1) T触发器 (2) T'触发器
把JK触发器的两输入端子J和K连在一起作为一个输入端 子T时,即可构成一个T触发器。当T=1时,即J=K=1,触 发器具有翻转功能;当T=0,即J=K=0,触发器具有保持功 能。显然T触发器只具有保持和翻转两种功能。 (2) T'触发器 让T触发器恒输入“1”时,显然只具有了一种功能——翻 转,此时T触发器就变成了T‘触发器。T’触发器仅具有翻 转一种功能。 归纳:触发器是时序逻辑电路的基本单元。常用的有RS、 JK和D触发器等。同一种功能的触发器,可以用不同的电 路结构形式来实现;反过来,同一种电路结构形式,也可 以构成具有不同功能的各种类型触发器。

40 检验学习结果 你会做吗? 何谓触发器的“空翻”现象?造成“空翻”的原因是什么?“空翻”和“不定”状态有何区别?如何有效解决“空翻”问题?
写出JK触发器的状态方程式、真值表和状态图。 写出D触发器的状态方程式、真值表和状态图。 试述各类触发器具有的逻辑功能。 根据逻辑符号怎样判别触发器的触发方式。 你会做吗?

41 10.2 计数器 计数器是时序逻辑电路的具体应用,用来累计并寄存输入脉冲个数,计数器的基本组成单元是各类触发器。
计数器 计数器是时序逻辑电路的具体应用,用来累计并寄存输入脉冲个数,计数器的基本组成单元是各类触发器。 计数器的种类很多。按其工作方式可分为同步计数器和异步计数器;按其进位制可分为二进制计数器、十进制计数器和任意进制计数器;按其功能又可分为加法计数器、减法计数器和加/减可逆计数器等。 计数器中的“数”是用触发器的状态组合来表示的,在计 数脉冲作用下使一组触发器的状态逐个转换成不同的状态 组合来表示数的增加或减少,即可达到计数的目的。计数 器在运行时,所经历的状态是周期性的,总是在有限个状 态中循环,通常将一次循环所包含的状态总数称为计数器 的“模”。

42 1. 二进制计数器 当时序逻辑电路的触发器位数为n,电路状态按二进制数 的自然态序循环,经历2n个独立状态时,称此电路为二进 制计数器。
1. 二进制计数器 当时序逻辑电路的触发器位数为n,电路状态按二进制数 的自然态序循环,经历2n个独立状态时,称此电路为二进 制计数器。 Q0 Q1 Q2 J K Q F0 C J K Q F1 C J K Q F2 C CP “1” RD 结构原理:三个JK触发器可构成一个“模8”二进制计数器。 触发器F0用时钟脉冲CP触发,F1用Q0触发,F2用Q1触发; 三位JK触发器均接成T′触发器—让输入端恒为高电平1; 计数器计数状态下清零端应悬空为“1”。

43 图示模8计数器是由3个T′触发器构成的。下面我们对此 计数器所构成的时序逻辑电路进行分析。
CP Q0 J K Q F1 C Q2 F0 RD F2 Q1 “1” 1 分析电路类型: 时序逻辑电路中如果除CP时钟脉冲外,无其它输入信 号,就属于莫尔型,若有其它输入信号时为米莱型;各位 触发器的时钟脉冲共用同一个CP脉冲时称同步时序逻辑电 路,若不是用同一个CP作为脉冲触发则称为异步时序逻辑 电路。显然,此计数器电路是莫尔型异步时序逻辑电路。

44 对上述莫尔型电路只需写出时钟方程、驱动方程和次态 方程。
CP Q0 J K Q F1 C Q2 F0 RD F2 Q1 “1” 2 写出电路相应方程式: 对上述莫尔型电路只需写出时钟方程、驱动方程和次态 方程。 (1) 驱动方程: (2) 次态方程: (3) 时钟方程:

45 计数器计数前都要清零,让三位触发器均处于“0”态时开始 计数。由所得次态方程可知,各位触发器每来一次计数脉冲
CP Q0 J K Q F1 C Q2 F0 RD F2 Q1 “1” 3 把驱动方程代入次态方程可得 计数器计数前都要清零,让三位触发器均处于“0”态时开始 计数。由所得次态方程可知,各位触发器每来一次计数脉冲 状态都要翻转一次,其工作情况可用时序波形图来描述: 实现了二分频 计数情况显然是从三位二进制数000计至111,共计8次完成一个循环,因此称为“模8”计数器。 CP 1 1 1 1 1 实现了四分频 Q0 1 1 Q1 1 1 实现了八分频 Q2 1 1 1 1

46 作状态转换真值表 无论是时序波形图还是状态转 换真值表,都反映了该计数器是 从状态000开始计数,每来一个 计数脉冲,二进制数值便加1,
4 作状态转换真值表 无论是时序波形图还是状态转 换真值表,都反映了该计数器是 从状态000开始计数,每来一个 计数脉冲,二进制数值便加1, 输入第8个计数脉冲时计满归零。 作为整体,该电路可称为模8加 计数器 、或八进制加计数器。 异步计数器总是用低位输出推动相邻高位触发器,因此3个触发器的状态只能依次翻转,不能同步。异步计数器结构简单,但计数速度较慢。

47 各位触发器输出二进制数的顺序称为有效循环体
5 作状态转换图 各位触发器输出二进制数的顺序称为有效循环体 表示各位触发器输出数字的排序 000 001 010 011 111 110 101 100 从状态转换图中又可直观地看到计数器计数的顺序及“模” 数。由于该计数器循环体中的8个二进制数就是三位触发器 输出组合的全部,因此在计数开始前不清零就工作时,也 可以由任何一个状态进入有效循环体。我们把这种能够在 启动后自动进入有效循环体的能力称为自启动能力。如果 计数器启动后状态不能自行够进入有效循环体,则称为不 具有自启动能力。

48 时序逻辑电路的分析步骤 从上述例子可以归纳出时序逻辑电路的一般分析步骤: ①确定时序逻辑电路的类型。根据电路中各位触发器是否
采用同一个时钟脉冲CP进行触发,可判断电路是同步时序 逻辑电路还是异步时序逻辑电路;根据时序逻辑电路除CP 端子外是否还有输入信号判断电路是米莱型还是莫尔型。 ②写出已知时序逻辑电路的各相应方程。包括驱动方程、 次态方程、输出方程(莫尔型电路不包含输出方程)。当所分 析电路属于异步时序逻辑电路时,还需写出各位触发器的 时钟方程。 ③绘制状态转换真值表或状态转换图。依据是第2步所写出 的各种方程。 ④指出时序逻辑电路的功能。主要根据状态转换真值表或 状态转换图的结果。

49 用D触发器构成的异步四位二进制加计数器 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 分析:图中各位触发器均为上升沿触发的D触发器。由于各位D触发器的输入D端与它们各自输出的非联在一起,所以,F0在每一个时钟脉冲上升沿到来时翻转一次。 F1在Q0由1变0时翻转, F2在Q1由1变0时翻转, F3在Q2由1变0时翻转。

50 举例 分析 判断该时序逻辑电路的类型 写出电路的驱动方程和次态方程 驱动方程: 三个JK触发器都接成T触发器,连接同一个CP,且前
一级输出作为后一级输入,试分析电路功能。 分析 1 判断该时序逻辑电路的类型 各位触发器共用一个CP,因此是同步时序逻辑电路;该 电路除CP端子没有其他端子,因此是莫尔型时序电路,结 论:同步的莫尔型时序逻辑电路。 2 写出电路的驱动方程和次态方程 驱动方程:

51 驱动方程代入各位触发器特征方程可得次态方程为:
3 根据次态方程填写状态转换真值表 CP Q2 Q1 Q0 Q2n+1 Q1n+1 Q0n+1 1↓ 1 2↓ 3↓ 4↓ 5↓ 6↓ 7↓ 8↓

52 根据状态转换真值表画出状态转换图 指出电路功能 2. 十进制计数器 000 001 010 011 由状态转换真值表可判
4 指出电路功能 000 001 010 011 由状态转换真值表可判 断出该电路是一个同步模 8的二进制加计数器。 111 110 101 100 2. 十进制计数器 日常生活中人们习惯于十进制的计数规则,当利用计数 器进行十进制计数时,就必须构成满足十进制计数规则的 电路。十进制计数器是在二进制计数器的基础上得到的, 因此也称为二—十进制计数器。

53 用四位二进制代码可以表示一位十进制数,如最常用的8421BCD码。8421BCD码对应十进制数时只能从0000取到1001来表示十进制的0~9十个数码,而后面的1010~1111六个8421BCD代码则在对应的十进制数中不存在,称它们为无效码。因此,采用8421BCD码计数时,计至第十个时钟脉冲时,十进制计数器的输出应从“1001”跳变到“0000”,完成一次十进制数的有效码循环。我们以十进制同步加计数器为例,介绍这类逻辑电路的工作原理。

54 图示同步十进制计数器由四位JK触发器及四个与门所构
成。首先由电路结构写出各位触发器的驱动方程和次态方 程如下: 驱动方程 次态方程

55 由次态方程可写出同步十进制计数器的状态转换真值表:
CP Q3 Q1 Q0 Q3n+1 Q2n+1 Q1n+1 Q0n+1 1↓ 1 2↓ 3↓ 4↓ 5↓ 6↓ 7↓ 8↓ 9↓ 10↓ 回零进位

56 由状态转换真值表可画出该计数器的状态转换图如下:
无效码 无效码 Q3Q2Q1Q0 1010 1011 1101 1100 有效循环体 0000 0001 0010 0011 0100 1111 1001 1000 0111 0110 0101 1110 无效码 观察状态转换图可知,该计数器如果在计数开始时处在 无效码状态,可自行进入有效循环体,具有自启动能力。 所谓自启动能力:指时序逻辑电路中某计数器中的无效 状态码,若在开机时出现,不用人工或其它设备的干预, 计数器能够很快自行进入有效循环体,使无效状态码不再 出现的能力。

57 3. 集成制计数器及其应用 计数器在控制、分频、测量等电路中应用非常广泛,所 以具有计数功能的集成电路种类较多。常用的集成芯片有
3. 集成制计数器及其应用 计数器在控制、分频、测量等电路中应用非常广泛,所 以具有计数功能的集成电路种类较多。常用的集成芯片有 74LS161、74LS90、74LS197、74LS160、74LS92等。我们 将以74LS161、74LS90为例,介绍集成计数器芯片电路的 功能及正确的使用方法。 集成计数器74LS90的管脚1和14是五进制计数器的时钟脉冲输入端;管脚2和3是直接清零端;管脚 6和7是直接置1端;管脚4和13是空脚;管脚5是电源端;管脚10是“地”端;管脚12是二进制输出端;管脚8、9、11是由低位到高位排列的五进制计数器的输出端。74LS90共有14个管脚。

58 集成计数器74LS90构成2-5-10进制计数器的方法如下:
①14脚CPA作为时钟脉冲输入端,12脚QA作为输出端,可构成一个一位二进制计数器。 ②1脚CPB作为时钟脉冲输入端,QD、QC、QB作为输出端,有效状态为000、001、010、011、100,可构成一个五进制计数器。 ③构成十进制计数器的方法有两种:14脚作为CP输入端时,输出端由高到低的排列顺序为QD~QA,构成一个8421BCD码二—十进制计数器;1脚作为CP输入端,输出为QA~QD时可构成一个5421BCD码二—十进制计数器。如下图所示: CP 74LS90 S91 S92 QC CPA CPB R01 R02 UCC +5V QB QD QA GND CP 74LS90 S91 S92 QC CPA CPB R01 R02 UCC +5V QB QD QA GND

59 集成计数器74LS90的功能扩展:10~99任意计数 60进制计数器 64进制计数器 利用两片74LS90构成个位片和十位片,采用预置数法和(上图示)反馈复位法(下图示)可构成10~99任意进制计数器。

60 输 入 输 出 74LS90集成电路芯片的功能真值表 RO1 RO2 S91 S92 CPA CPB QD QC QB QA
输 入 输 出 RO1 RO2 S91 S92 CPA CPB QD QC QB QA × × × × × × × × × × × × ↓ 0 二进制计数 × × ↓ 五进制计数 0 × × ↓ Q0 8421BCD码十进制计数 0 × × Q1 ↓ 5421BCD码十进制计数

61 74161的功能表 74LS161是16脚的集成二进制同步计数器,具有以下功能: 1、异步清零; 2、同步并行预置数; 3、计数;
5 6 7 15 16 CP A B C GND Q D Ucc 74LS161 8 9 10 11 12 14 13 r L P T CO 1、异步清零; 2、同步并行预置数; 3、计数; 4、保持; 其中CO为进位输出端。 74161的功能表 1 Cr 清 零 × LD 预 置 × × 0 × × 0 1 1 P T 使 能 CP 时 钟 × × × × d3 d2 d1 d0 D C B A 预置数据输入 保 持 计 数 QD QC QB QA 输 出 工作模式 异步清零 同步置数 数据保持 加法计数

62 74LS161利用清零端或置数端可构成N进制计数器。下图所示为用一片74LS161构成12进制计数器的两种方法:
将状态1100 反馈到清零端 异步归零 将状态1011 预置到清零端 同步归零

63 上述两种方法的比较: 异步归零构成十二进制计数器,从状态0000开始计数,计到状态1011时,再来一个CP计数脉冲,电路不是立即归零,而是先转换到状态1100,借助1100的译码使电路归零,因此这种归零方法存在一个极短暂的过渡状态1100。 同步归零构成的十二进制计数器,从状态0000开始计数,计到状态1011时,再来 一个CP计数脉冲,电路立即归零。显然,这种归零方法不存在过渡状态1100。

64 用74LS161构成256进制进制计数器 16× 16 = 256 低位片由于CTT、CTP、清零端和置数端均为1而在CP脉冲到来时开始计数,计数到1111时,由CO端输出一个高电平,使高位片的CTT、CTP同时为1,这时高位片计数一次。之后低位片归零,重新从0000开始计数,而进位端CO不再有进位致使高位片的CTT、CTP为零,高位片不会计数,直到低位片又计满进位时才会重新推动高位片再计数一次,依此类推,直至计数至256,两片计数器同时归零,开始第二个循环计数。

65 用74LS161构成60进制计数器 低位片计数至1111时推动高位片计数一次,当CP脉冲计数至第60次时,高位片计数至0011为3×16=48、低位片计数至1100等于12,高位片和低位片数据输出端的四个1送入与非门,与非门“全1出0”,给两芯片的清零端同时送入一个低电平,使两片计数器同时清零,重新开始第二个循环计数。

66 用74LS161构成8421码60进制计数器 个位片计数至1010时异步归零,从0开始第二个循环计数,第二个循环计数开始时个位片的清零端由于“有0出1”而对十位片的CP端产生一个上升沿,因此推动十位片计数一次;当个位片计数至第20次、30次、40次、50次时,均会推动十位片计数一次,当第60个时钟脉冲到来时,个位片计至1010,十位片计至0110,它们将同时清零,重新第二个循环计数。

67 用74LS161构成8421码24进制计数器 个位片计数至1010时异步归零,从0开始第二个循环计数,第二个循环计数开始时个位片的清零端由于“有0出1”而对十位片的CP端产生一个上升沿,因此推动十位片计数一次;当个位片计数至第20次时,又会推动十位片计数一次,当第24个时钟脉冲CP到来时,个位片计至0100,十位片计至0010,这两个1同时送入与非门,使两片同时清零,重新第二个循环计数。

68 检验学习结果 你会做吗? 试用74LS90集成计数器构成一个十二进制计数器,要求用反馈预置数法实现。
如何区分同步时序逻辑电路和异步时序逻辑电路?你能判断和区分米莱型电路和莫尔型电路吗? 何谓计数器的 “自启动”能力? 试用74LS161集成计数器构成一个六十进制计数器,要求用反馈清零法实现。 试述时序逻辑电路的分析步骤。你掌握了根据次态方程写功能真值表的方法吗? 你会做吗?

69 10.3 寄存器 数字电路中用来存放二进制数代码的电路称为寄存器。
10.3 寄存器 数字电路中用来存放二进制数代码的电路称为寄存器。 寄存器是计算机的重要部件,通常由具有存储功能的多位触发器组合起来构成。单独一位触发器可存储1个二进制代码,存放n个二进制代码的寄存器,需用n位触发器来构成。 按照功能的不同,可将寄存器分为数码寄存器和移位寄 存器两大类。数码寄存器只能并行送入数据,需要时也只 能并行输出。移位寄存器中的数据可以在移位脉冲作用下 依次逐位右移或左移,数据既可以并行输入、并行输出, 也可以串行输入、串行输出,还可并行输入、串行输出, 串行输入、并行输出,应用十分灵活,用途也很广。

70 1. 数码寄存器 输出不变 1 1 1 D 2 1D Q 3 1 CP R 异步复位端为高电平时:无CP脉冲到来寄存器保持原态,CP上升沿到来后存入数码。 异步复位端为低电平时,寄存器清零。 1 1 1 1 D触发器构成的四位寄存器 即:无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP上升沿到来,加在并行数据输入端的数据D3~D0将立即被送入进寄存器中,有:

71 2. 移位寄存器 并行输出端 串行输入端 Dr 1D C FF 1 2 3 Q D0 CP Q0 Q1 Q2 Q3 串行输出端 移位脉冲 在存数操作之前,先将各个触发器清零。当出现第1个移位脉冲CP时,待存数码的最高位和4个触发器的数码同时右移1位,即待存数码的最低位存入Q0,而寄存器原来所存数码的最高位从Q3输出;出现第2个移位脉冲时,待存数码的次低位和寄存器中的4位数码又同时右移1位。依此类推,在4个移位脉冲作用下,寄存器中的4位数码同时右移4次,待存的4位数码便可存入寄存器。

72 双向移位寄存器右移移位工作过程演示 1 1 1 1 1 1 1 1 1 1 1 双向移位寄存器右移移位状态转换真值表 Dr D0 CP Q0
FF 1 2 3 D0 CP Q0 Q1 Q2 Q3 Q Cr 右移输入端 1 1 1 1 1 1 1 1 1 1 1 右移输出端 双向移位寄存器右移移位状态转换真值表

73 双向移位寄存器左移移位工作过程演示 1 1 1 1 1 1 1 1 1 1 1 双向移位寄存器左移移位状态转换真值表 D0 Di CP Q0
FF 1 2 3 Di CP Q0 Q1 Q2 Q3 Q Cr 左移输出端 1 1 1 1 1 1 1 1 1 1 1 左移输入端 双向移位寄存器左移移位状态转换真值表

74 常用的寄存器芯片有四位双稳锁存器74LS77、CC4042和CC40194;八位双稳锁存器74LS100;六位寄存器74LS174等。其中锁存器属于电平触发,在送数状态下,输入端送入的数据电位不能变化,否则将发生“空翻”。下图所示是四位双向移位寄存器CC40194的管脚引线排列图: 4 1 2 3 5 6 7 15 16 DSR D0 D1 D2 VSS CP Q VDD CC40194 8 9 10 11 12 14 13 D3 S0 DSL S1 Q0 Cr 移位寄存器不仅具有普通寄存器存储二进制代码的功能,还可以实现数据的串行与并行之间的相互转换,为数据处理提供一个合适的传输方式 CC40194双向移位寄存器内部有四个双稳触发器,共用一个时钟脉冲输入端CP,上升沿触发。 CC40194(或74LS194)是典型的双向移位寄存器芯片。逻辑电路通常由4位上升沿(或下降沿)触发的触发器和4选1数据选择器的输入控制电路组成。

75 时钟脉冲无上升沿到来时,移位寄存器输出状态不变。静态保持功能
移位寄存器的工作性能 S1S0=00时,在CP作用下,各触发器次态等于原态。 动态保持功能 S1S0=11时,在CP作用下,并行输入数据端ABCD被送入寄存器,输出次态等于输入A B C D 并行输入功能 时钟脉冲无上升沿到来时,移位寄存器输出状态不变。静态保持功能 1 1 4 1 2 3 5 6 7 15 16 DR A B C GND CP Q D UCC 74LS194 8 9 10 11 12 14 13 S0 DL S1 QA Cr S1S0=01时,在移位脉冲上升沿作用下,电路完成右移移位过程。右移移位功能 来一个低脉冲,无论电路状态如何,输出均刷新为0,异步清零功能 S1S0=10时,在移位脉冲上升沿作用下,电 路完成左移移位过程。左移移位功能 显然,74LS194芯片功能有异步清零、 静态保持、动态保持、并行输入、左移移 位和右称移位六项功能。

76 特点:N位移位寄存器可以计n个数,实现模n计数器。状态为1的输出端的序号等于计数脉冲的个数,移位寄存器构成环形计数器时通常不需要译码电路。
3. 移位寄存器的应用 (1) 构成环形计数器 移位寄存器的D0和Q3相连可构成工作时序为1的环形计数器 1D FF0 FF1 FF2 FF3 D0 CP D2 D1 D3 Q0 Q1 Q2 Q3 1D FF0 FF1 FF2 FF3 D0 CP D2 D1 D3 Q0 Q1 Q2 Q3 Q0Q1Q2Q3 1000 0100 0010 0001 特点:N位移位寄存器可以计n个数,实现模n计数器。状态为1的输出端的序号等于计数脉冲的个数,移位寄存器构成环形计数器时通常不需要译码电路。

77 工作原理:根据起始状态设置的不同,在输入计数脉冲CP
74LS194构成的四位环形计数器 Q0 Q1 Q2 Q3 & 1 移位寄存器构成环形计数器时,正常工作过程中清零端状态始终为1。 4 1 2 3 5 6 7 15 16 DR A B C GND CP Q D UCC 74LS194 8 9 10 11 12 14 13 S0 DL S1 QA Cr QB QC & 启动信号 1 1 工作原理:根据起始状态设置的不同,在输入计数脉冲CP 的作用下,环形计数器的有效状态可以循环移位一个1,也 可以循环移位一个0。即当连续输入CP脉冲时,环形计数器 中各个触发器的Q端或Q端,将轮流地出现矩形脉冲。

78 四位移位寄存器的循环状态一般有16个,但构成环形计
四位环形计数器波形图 CP Q0 1 1 Q1 1 Q2 1 Q3 1 四位移位寄存器的循环状态一般有16个,但构成环形计 数器后只能从这些循环时序中选出一个来工作,这就是环 形计数器的工作时序,也称为正常时序或有效时序。其它 末被选中的循环时序称为异常时序或无效时序。例如上述 分析的环形计数器只循环一个“1”,因此不用经过译码就可 从各位触发器的Q端得到顺序脉冲输出。

79 环形计数器是从Q3端反馈到D端,而扭环形计数器则是从Q3端反馈到D端。从Q3端扭向Q3端,故得扭环名称。扭环型计数器也称约翰逊计数器。
(2) 用移位寄存器构成扭环形计数器 环形计数器是从Q3端反馈到D端,而扭环形计数器则是从Q3端反馈到D端。从Q3端扭向Q3端,故得扭环名称。扭环型计数器也称约翰逊计数器。 1D FF0 Q0 FF1 Q1 FF2 Q2 FF3 Q3 D0 D1 D2 D3 CP Q0Q1Q2Q3 0000 1000 1100 1110 0001 0011 0111 1111

80 扭环形计数器有2n个有效状态,其余为无效状态,存在自行启动问题。附加适当反馈逻辑可使约翰逊计数器自行启动。具体原则就是使非工作时序中的状态向正常时序过渡。
Q 1 2 3 D 1D C1 CP & FF0 FF1 FF2 FF3 逻辑电路图 n Q 3 2 1 无效状态总能进入有效循环体,有自启动能力 0110 1011 0101 0010 1101 1010 0100 1001 0000 1000 1100 1110 有效循环体 0001 0011 0111 1111

81 (3) 伪随机序列发生器 伪随机序列发生器也属于计数器的一种类型,其输出状态组合除全0状态外,其它状态均在输出中出现,因其输出状态出现的顺序在统计上十分近似于随机白噪声,故称为伪随机序列发生器。 1D FF0 Q0 FF1 Q1 FF2 Q2 FF3 Q3 D0 D1 D2 D3 CP =1 图示电路是一个四位伪随机序列发生器。电路的构成主要 是反馈逻辑电路的确定,通常采用异或门,反馈电路输入信 号的选择根据移位寄存器的位数决定。输出相同时伪随机序 列的反馈电路不是唯一的。

82 检验学习结果 多看多练多做 用74LS174 寄存器、计数器的概念你掌握了多少?能不能说出何谓计数器的自启动 构成一个六位左移 移位寄存器。
能力? 多看多练多做

83 10.4 555定时电路 电路组成 1. 555定时器电路组成及其功能 清零端,正常工作时为“1”
定时电路 定时器电路组成及其功能 清零端,正常工作时为“1” 7 1 TH CO TR + U DD OUT 5kΩ 5KΩ 2 5 6 8 4 3 R C D Q S SS U+ U- T 3个5KΩ电阻串起来构成分压器,555定时器名称也由此而得。 高电平 触发端 4~16V 电路 输出端 电压 控制端 RS 触发器 推拉式 输出级 低电平 触发端 N沟道 放电开关管 两个集成运放构成的电压比较器C1的反相端和C2的同相端均与基准电压相接。 电路组成 放电端 负电源 “地”端

84 555 CC7555 1 2 4 3 8 7 6 5 555定时器是一种功能强大的模拟数字集成混合电路,集成芯片共有8脚:1脚是接地端(或副电源端),2脚是低触发端 TR,3脚是输出端OUT,4脚是复位清零端R,5脚CO是电压控制端,用来改变比较器的基准电压,不用时需经0.01μF电容接地;6脚是高触发输入端TH,7脚是放电端,外接电容器,当三极管导通时,电容器放电;8脚是正电源端UDD。 555定时器的输出端电流可以达到200mA,因此可以直 接驱动与此电流数值相当的负载,如继电器、扬声器、发 光二极管等。

85 555定时器的工作原理 1 1 555定时器的工作状态取决于电压比较器C1和C2。下面讨论当高触发端输入电压变化时电路的情况: 导通 放电
7 1 TH CO TR + U DD OUT 5kΩ 5KΩ 2 5 6 8 4 3 R C D Q S SS U+ U- T 1 1 导通 放电 输入电压由小往大变化,当等于阀值电压2UDD/3时,C1比较器输出为1,送给RS触发器一个置0信号,输出Q=0,在大于2UDD/3时保持“1”态;

86 555定时器的工作原理 1 1 讨论当低触发端输入电压变化时电路的情况: 截止
7 1 TH CO TR + U DD OUT 5kΩ 5KΩ 2 5 6 8 4 3 R C D Q S SS U+ U- T 1 输出1 1 截止 输入电压由大往小变化,当等于阈值电压UDD/3时,C2比较器输出为1,送给RS触发器一个置1信号,输出Q=1;在小于UDD/3时保持这个“1”态。

87 讨论当低触发端输入电压大于阈值电压及高触发端小于阈值电压时的情况;
7 1 TH CO TR + U DD OUT 5kΩ 5KΩ 2 5 6 8 4 3 R C D Q S SS U+ U- T 保持 保持 当高触发端输入电压低于阈值电压、低触发端输入电压大于阈值电压时,两个比较器输出均为0,电路保持原态不变。

88 (1)用 555定时器构成施密特触发器 555定时器可构成施密特触发器,施密特触发器属于波形变换电路,可将正弦波、三角波、锯齿波变换为脉冲矩形波。 ui t 6 CC7555 2 1 +UDD u0 0.01μF 5 3 ui 7 u0 t 555定时器构成的施密特触发器 施密特触发器是双稳态电路。当输入电压大于或小于电路阈值时,输出均会维持在一个恒定电压值。施密特触发器可以把缓慢变化的输入波形变换成边沿陡峭的矩形波输出。

89 施密特触发器的特点及功能 ui u0 电压传输具 有回差特性
ui u0 特 点 电压传输具 有回差特性 施密特触发器利用其输入信号达到某一特定的阈值时,输出电平会发生跃变的特点,可对电路中输入的电信号进行波形整形、幅度鉴别及波形变换等。 1 C R u c u' o 输入 输出 幅度的鉴别 构成多谐振荡器

90 555定时器构成水位监控报警电路 +UCC R1 4 8 7 6 R2 3 2 C 5 1

91 小 结 1. 555定时器主要由比较器、基本RS触发器、门电路构成。基本应用形式主要有施密特触发器、多谐振荡器等。
小 结 定时器主要由比较器、基本RS触发器、门电路构成。基本应用形式主要有施密特触发器、多谐振荡器等。 2. 施密特触发器具有电压滞回特性,某时刻的输出由当时的输入决定,即不具备记忆功能。当输入电压处于两个阈值电压之间时,施密特触发器保持原来的输出状态不变,所以具有较强的抗干扰能力。 3. 多谐振荡器又称无稳态电路。在状态变换时,触发信号不需要由外部输入,而是由电路中的RC电路提供;状态的持续时间也由RC电路决定。

92 检验学习结果 多看多练多做 施密特触发器有哪些特点?主要用途是什 么? 555定时器电路由哪几部分组成?各部分的作用是什么?
555定时电路中的两个电压比较器工作在开环还是闭环情况下? 555定时器电路的清零端作用是什么? 多看多练多做

93 本章学习结束 Goodbye!


Download ppt "第10章 触发器和时序逻辑电路 10.1 触发器 10.2 计数器 10.3 寄存器 10.4 555定时器."

Similar presentations


Ads by Google