Presentation is loading. Please wait.

Presentation is loading. Please wait.

製程服務注意事項說明 (含製程申請、晶片製作) 晶片實作組 2005/10/27.

Similar presentations


Presentation on theme: "製程服務注意事項說明 (含製程申請、晶片製作) 晶片實作組 2005/10/27."— Presentation transcript:

1 製程服務注意事項說明 (含製程申請、晶片製作) 晶片實作組 2005/10/27

2 講義內容更新說明 頁碼 原文 更新 修訂時間 1-4 94/10/25 1-5 1-6 94/10/27 1-7 94/09/26 1-8
95年度製程申請換約開始 94/10/25 1-5 T18-95A、U18-95A截止日異動提醒 1-6 SiG-94D測試元件截止日延後通知 94/10/27 1-7 新增95年度U18製程調整與異動說明 94/09/26 1-8 TSMC 0.18um CMOS製程 下線注意事項 94/09/28 1-12 95年度製程服務相關重大變革說明 95年度製程異動說明

3 Outline 講義內容更新說明 重要公告 晶片製作申請 儀器設備使用申請 常見不受理申請原因 注意事項
Stream out & 檔案上傳方式 審查會後資料修改 & 其他應注意事項 製程公告、規定 95年度製程服務相關重大變革說明

4 重要公告: 95年度製程申請換約開始 (10/25/2005新增)
製程資料申請採用一年一簽,原本94年度申請使用期限至95年1月31日止,故請各位製程資料申請人,務必自即日起至本中心網頁 登入點選“製程申請” 因95年起申請T13製程(含T13L、T13RF),被授權人員(如學生)必須參與製程資料控管說明會,方可授權使用製程資料與各類晶片製作申請(下線申請),因此請欲申請T13製程之申請者(老師)務必要求被授權人員(如學生)參加”製程資料控管說明會”。否則將影響未來下線權益。 製程資料控管說明會(94年度)舉辦日期如下: *注意:欲申請T13L-95A/T13RF-95A 晶片製作的被授權人員(如學生),強烈建議參與第一場說明會,以利後續審核,避免影響下線權益。 如未寄回95年度的「製程資料保密同意書」,本中心自95年2月1日起將無法繼續提供製程資料,亦無法提供晶片製作(下線申請)的申請服務。若有任何製程申請相關問題,歡迎隨時與 *205晶片組 簡小姐 聯絡! 日期 時間 地點 備註 2005/10/28(五) 10:00-11:00 [新竹]CIC新竹辦公室 *注意 2005/11/18(五) [台北]台灣大學電機館(二館) 2005/12/10(六) [新竹/台南] CIC新竹辦公室/CIC南區辦公室

5 重要公告: T18-95A、U18-95A截止日異動提醒(10/25/2005新增)
欲下線該兩梯次製程者,請特別注意。建議使用者重新上網下載並察看 晶片製作時程表。 詳細請見:「晶片製作時程表(95半年)」 下載網址:

6 重要公告: SiG-94D測試元件截止日延後通知 (10/27/2005新增)
本中心(CIC)為配合94年度NDL廠務設備系統年度歲修,相關歲修影響部分如下: 1.電力系統:94年10月29日全天停電 2.空調系統:94年10月29日~11月1日停止供應(會盡量壓縮停止時間) 故原SiG-94D之測試元件截止日時間( ),將往後延至 詳細請見:CIC網站公告

7 重要公告:95年度U18製程調整與異動說明 (9/26/2005新增)
因CIC調整明年(95年)度提供之製程,UMC 0.18um Mixed Signal/RF CMOS 1.8V/3.3V Process(簡稱U18)下線服務將至95年5月份為止,未來將不再提供此製程之資料申請與下線相關服務。為減緩製程轉換衝擊與協助相關設計結案,預計95年5月份前仍保有兩梯次下線,確實下線時程以95年度晶片製作時程表為準(預計於94年10月份公告)。 由於 UMC Mixed Signal/RF CMOS 1.8V/3.3V process與 TSMC 0.18um CMOS Mixed Signal/RF General Purpose MiM Al 1P6M 1.8V/3.3V process(簡稱T18)特性差異不大,T18相關設計環境也完整,亦提供Artisan Cell Library,因此建議U18使用者未來可轉換製程至T18製作。對於數位高速電路之設計者,亦可參考使用TSMC 0.13um CMOS Mixed Signal General Purpose MiM Cu FSG 1P8M 1.2V/2.5V process。 如有問題,請與下面人員聯絡: U18技術相關,請與 陳益誠先生 ext.201聯絡 T18技術相關,請與 簡廷旭先生 ext.202聯絡 T18 Cell Library,請與 王朝琴先生 ext.193 聯絡 U18 Cell Library,請與 林俊賓先生 ext.163 聯絡

8 TSMC 0.18um CMOS製程 下線注意事項 DRC Error:
CIC驗証結果以Calibre v2004.1_2.15版本為標準檢查平 臺,若有Calibre檢查之後察覺錯誤,實質上並不違反 Design Rule檔所列規則,且Layout上無法修整至Error Free,請聯絡CIC相關制程負責工程師。如遇到不明或上 列未列之DRC Error請與工程師討論,請勿自行合理化!!

9

10

11

12 95年度製程服務相關重大變革說明 95年度製程異動說明
95年度製程服務相關重大變革說明 95年度製程異動說明 UMC 0.18 UM 1P6M MMC/RFCMOS 1.8V/3.3V 製程將提供至95年上半年止,下半年起將停止製程資料申請、晶片製作相關服務。 95年起,開始提供TSMC 0.13 UM CMOS Mixed Signal MS General Purpose Standard Process FSG Cu 1P8M 1.2&3.3V 製程,(簡稱T13L)。 其餘各製程維持不變 所有製程之晶片製作時程,以CIC公告之晶片製作時程表(95)為準。

13 T13L & T13RF製程差異說明 CIC所提供之T13L並非一般Pure Logic,而是指應用於Mixed-Signal的Process。 T13L相容於一般Pure Logic製程,且金屬厚度完全相同,並且提供MIM電容。 CIC所提供之T13L的標準操作電壓為1.2V/3.3V,T13RF則是1.2V/2.5V T13RF的Top Metal厚度為3.3um, T13L僅0.9um,因此兩者在Design Rule方面將有所差異。 T13RF不提供replace,因此無法適用CIC提供之Cell-Base Flow,使用Cell-Base Flow 請改用T13L。 T13RF建議用於不需replace之電路,且操作電壓1.2V/2.5V之RF Circuit,Analog Circuit, Mixed-Signal,Logic Circuit 。 T13L建議用於操作電壓1.2V/3.3V之Analog Circuit, Mixed-Signal,Logic Circuit 。

14 T13L & T13RF製程比較表 Metal 7~6 Metal 8~7 YES NO Supply Cell-Base Flow
MIM capacitor 位置 Yes MIM capacitor same Metal 6 厚度 thin thick Metal 7 厚度 Metal 8 厚度 1.2V/3.3V 1.2V/2.5V 操作電壓(core/IO) 8 Metal 層數 T13L T13RF

15 95年度製程資料申請說明 所有製程資料一律線上申請 製程資料維持一年一簽 所有製程依安全性等級區分為A、B、C三類
(1)申請人資格(2)申請所需相關文件(3)核可後管理 將依製程安全性等級區分。 所有製程申請者均需繳交 『製程資料保密同意書』 『在職證明』聲明 『被授權人員清冊』或『無複製製程資料』聲明 『銷毀製程資料』聲明 所有申請人應於每年三月及十月自造『製程資料管理報表』提供CIC存查。否則停止其相關服務 無製程使用權者不能申請晶片製作 申請人有義務配合中心不定時派員至申請人存放製程資料處進行了解與關切使用的情形及其管理 CIC送CI Letter (列有申請人姓名、服務單位及地址)至TSMC核准,始能啟動下載權限,所需時間約二週。固定於每月月初送上個月的申請名單。

16 95年度製程安全性等級說明 安全性等級 A B C 定義 製程資料不對外開放,以Security Lab方式管制
製程資料對外開放,嚴格要求申請者對資安控管,並主動做相關查核。 製程資料對外開放,申請資料記錄備查,並於必要時進行相關查核。 製程分類 0.13um MM/RF CMOS 0.13um MM/LO CMOS TSMC 0.18um 製程 0.35um MM CMOS 0.35um SiGe BiCMOS UMC 0.18um 製程 PHEMT CMOS MEMS 申請人資格 暫不開放 1. 大專院校的教授(含副教授及助理教授) 2. 教授參與國科會計畫或SOC國家型計畫 3. 教授曾使用0.18um製程產出相關成果(僅 0.13um製程) 大專院校的老師(講師以上) 被授權人員 1. 人數最多10人,如超10人,需說明原因。 2. 使用0.13um 製程資料,被授權人員需先參與”製程資料控管說明會” 暫無規範人數

17 95年度製程資料申請相關文件/管理列表 安全性等級 B C 製程(簡稱) T13L T13RF T18 U18 SiG35
D35 (含MEMS35) PHEMT 製程資料保密同意書 下載列印 範例說明 V 在職證明聲明 被授權人員清冊(註一)* 申請者留存備查 無複製製程資料聲明 (註一)* 銷毀製程資料聲明 PDK存放單 -- TSMC 0.13 研究及管理計畫 參與製程資料控管說明會 (註二)** 核可後管理 製程資料管理報表 (每年3、10月繳交CIC) 下載 被授權人員清冊 如有異動時繳交 如有異動時,申請者需變更清冊,留存備查 註一:”被授權人員清冊”與”無複製製程資料聲明”請擇一繳交。如申請者如無授權製程資料給其他人員使用,僅需繳交”無複製製程資料聲明”。 註二:”製程資料控管說明會”定期舉辦,舉辦時間請注意CIC網頁公告,或與簡珮君小姐 ext.205詢問。

18 95年度製程資料申請流程 上網勾選欲申請製程,並下載相關文件 具資格之申請者 依申請製程,備妥相關需繳交文件 No CIC審核
If need Yes Yes No 授權廠商審核 (CI-letter) Yes 開啟製程資料下載權限

19 重要公告: 申請書內容異動與審查會投影片規範
(4/15更新) CIC為使書面審與審查會報告更具公平性,決議前瞻性、測試元件之晶片製作申請表內個人資料將不再提供審查委員參考,未來不論書面審或審查會議所提供委員審查之報告,將刪除申請書封面(申請表),僅保留申請書內容。但學生仍須填寫繳交申請表(CIC統計資料用) 因刪除申請書封面緣故,前瞻性、測試元件申請書電子檔內容有作異動,在設計內容部分加入[1]專題名稱 [2]最近三次下線紀錄等項目,詳細請參考P9, P12說明或參考: CIC網頁>製程服務>下線導引> (3)各類晶片製作申請須知與說明 > 前瞻性晶片製作申請須知與說明(94年度) 測試元件晶片製作申請須知與說明(94年度) 前瞻性晶片製作申請書電子檔範例,請參考:CIC網頁>製程服務>下線導引>(5)各類晶片製作申請範例>申請書電子檔範例 (94前瞻性) 學生於審查會報告時,不可於投影片(含logo)和審查報告過程中提及申請者相關之學校系所、指導教授、實驗室、身份等資料,若因違反規定造成負面審查結果,需自行負責! 投影片格式,頁數不拘,以重點敘述為主。但內容必需包含範例所提及項目(範例均為審查委員經常詢問項目),審查會投影片參考範例,請參考: CIC網頁>製程服務>下線導引>(5)各類晶片製作申請範例>審查會報告投影片範例 (94)

20 重要公告1:TSMC下線DRC注意事項(更新)
由於先前有學生因違反design rule,而造成tsmc Fab廠人力負擔及時間延誤,所以tsmc嚴重警告,這些舉動不僅影響下線者本身,也有可能造成其他客戶及Fab廠損失,而未來如有類似情況,則tsmc有拒絕下線的權力,所以tsmc要求“design rule document is golden, every design has to follow design rule document”,所以晶片必需符合廠商提供的design rule才具有下線申請資格,並且麻煩各位配合下列事項: CIC目前均接受Dracula & Calibre DRC report,但之前曾發生有因使用Dracula舊版次而產生DRC無法check到error的問題,所以至tsmc下線者若是採用Dracula做為DRC驗證tool者務必使用最新版次(IC5.0.33, Dracula )或以上來run DRC以避免此問題。 由於每一梯次之申請件數均甚為龐大, CIC限於人力因素目前僅用Calibre來驗證之並做為下線申請資格審核的依據。 每次下線前請務必上CIC網站下載最新製程資料,並利用CIC release最新的command file作DRC,LVS check DRC report必須沒有錯誤才具有下線申請資格,若因有command file所造成的假錯,則必須至 確認是否為合理的假錯, 並且將錯誤逐條解釋,並註明錯誤代號,若錯誤不為網址上所確認的合理假錯,則必須事先跟工程師確認。

21 重要公告2 每梯次之截止時間一律訂為申請截止日當日下午五點整,逾時將關閉FTP,並不再接受檔案上傳,請注意網路壅塞情形,盡早上傳,以免因逾時及檔案上傳不完整而喪失下線資格。 每次有佈局檔上傳,均需附上DRC, LVS驗證結果,並都務必記得上傳OK檔, 並至下列網址 : 確認上傳資料, CIC將依該網頁資料進行下線作業,不再另行通知,若對此有任何疑問,請在上傳日當天17:00前聯絡製程工程師

22 加入會員 申請者在申請晶片製作之前,教授/學生均須完成加入會員與製程資料申請及授權。
加入會員網址: /系統登入 (教授若欲更改基本資料,亦需由此登入方能修改)

23 製程資料申請 申請網址: http://www.cic.org.tw/cic_v13/login/login.jsp
業務承辦人: 簡珮君小姐,Tel: *205 , 。

24 前瞻性晶片製作申請 1.ftp 技術資料 包括佈局檔、佈局驗證結果檔(DRC、LVS或Apollo驗證結果檔)與 Tapeout Review Form。佈局檔案上傳完後請上傳” OK ”檔,以檢查上傳佈局檔是否無誤,並至晶片上傳檢查系統網頁: “ ”以確認申請是否成功(若網頁上無其上傳資訊者,視同無申請)。 註:使用Cell-Based Flow者,另附Fault Coverage log 檔。 2.ftp 計畫書電子檔 包括 (1)前瞻性晶片製作申請表(94年度) (2)設計內容: [1]專題名稱 [2]最近三次下線紀錄[3]相關研究發展現況 [4]研究動機 [5]架構簡介 [6]設計流程 [7]模擬結果[8]預計 規格列表 [9]測試考量[10]參考文獻 (3)佈局驗證結果錯誤說明(無誤者仍需註明:驗證無誤) (4)佈局平面圖 (5)打線圖 (選擇不包裝的申請者,免送。) (6)智慧財產權切結書(94年度) 註:以上(1)至(6)項合成一個電子檔。 3.限時掛號郵寄(以郵戳為憑)或親送申請資料 包括 (1)前瞻性晶片製作申請表(94年度) (2)智慧財產權切結書(94年度) 註:以上(1)、(2) 需要蓋系所章與指導教授簽名。

25 教育性晶片製作申請 1.ftp 技術資料。 包括佈局檔、佈局驗證結果檔(DRC、LVS或Apollo驗證結果檔)與 Tapeout Review Form。佈局檔案上傳完後請上傳” OK ”檔,以檢查上傳佈局檔是否無誤,並至晶片上傳檢查系統網頁: “ ”以確認申請是否成功(若網頁上無其上傳資訊者,視同無申請)。 2.ftp 計畫書電子檔。 包括(1)教育性晶片製作申請表(94年度) – 1/2 (2)教育性晶片製作申請表(94年度) – 2/2 (3)設計內容:[1]原理及架構說明[2]設計流程[3]電路詳圖[4]模擬結果 [5]預計規格列表[6]測試考量。 (4)佈局驗證結果錯誤說明(無誤者仍需註明:驗證無誤) (5)佈局平面圖 (6)打線圖(選擇不包裝的申請者,免送。) (7)智慧財產權切結書(94年度) 註:以上(1)至(7)項合成一個電子檔。 3.限時掛號郵寄(以郵戳為憑)或親送申請資料。 (3)成績計分點名單 (4)智慧財產權切結書(94年度) 註:以上(1)、(2)、(3)、(4) 需要蓋系所章與指導教授簽名。

26 修課學生名單

27 測試元件晶片製作申請–1/2 1. ftp 技術資料 包括佈局檔、佈局驗證結果檔(DRC、LVS或Apollo驗證結果檔)與 Tapeout Review Form。佈局檔案上傳完後請上傳” OK ”檔,以檢查上傳佈局檔是否無誤,並至晶片上傳檢查系統網頁: “ ”以確認申請是否成功(若網頁上無其上傳資訊者,視同無申請)。 2. ftp 計畫書電子檔 包括(1)測試元件晶片製作申請表(94年度) (2)設計內容: [1]專題名稱 [2]最近三次下線紀錄[3]相關研究發展現況 [4]研究動機及未 來研應用範圍 [5]元件結構及其等效模型簡介 [6]設計流程 [7]模擬結果 (或未來量測項目) [8]預計規格列表(或預計元件趨勢) [9]測試考量 [10]參 考文獻。 (3)佈局驗證結果錯誤說明(無誤者仍需註明:驗證無誤) (4)佈局平面圖 (5)智慧財產權切結書(94年度) 註:以上(1)至(5)項合成一個電子檔。 3. 限時掛號郵寄(以郵戳為憑)或親送申請資料 (2)智慧財產權切結書(94年度) 註:以上(1)、(2) 需要蓋系所章與指導教授簽名。

28 測試元件晶片製作申請- 2/2 4. 測試元件晶片製作之審查方式: (1). TSMC 0.13除外的製程均由書面審查。
(1). CMOS製程(TSMC 0.13除外):晶片之長寬不得超過(即小於等於)1.5mm X 1.5mm; (2). GCT HBT & WIN PHEMT 製程:一律以申請表格上可勾選之面積為限。面積必須不得超過(即小於等於) 2 mm2 其他自訂大小之面積一概不予受理。 (3). TSMC 0.13 製程:晶片之長寬不得超過(即小於等於)1.5mm X 2mm

29 晶片製作申請相關業務承辦人 製 程 名 稱 技術方面 申請方面 1. UMC 0.18um Mixed Signal (1P6M) CMOS
製 程 名 稱 技術方面 申請方面 1. UMC 0.18um Mixed Signal (1P6M) CMOS 陳益誠先生,分機201, 使用Cell-Based Flow: * 教育性-測試元件-前瞻性: 張惠禎小姐,分機174, TSMC 0.18um Mixed Signal (1P6M) CMOS 測試元件-前瞻性: 翁健祥 先生,分機219, *產研界/學校自費: 簡靜美小姐,分機162, 2. TSMC 0.18um Mixed Signal (1P6M) CMOS 簡廷旭先生,分機202, 3. TSMC 0.35um Mixed Signal (2P4M) CMOS 彭罡竚先生,分機132, 使用MEMS: 4. TSMC 0.35um 3P3M SiGe BiCMOS * 測試元件-前瞻性: 陳怡華小姐,分機131, * 產研界/學校自費: 5. TSMC 0.13um Mixed Signal (1P8M) CMOS 6. WIN 0.15um PHEMT 7. GCTC HBT 郵寄晶片製作申請資料: 收件地址:新竹市科學園區展業一路26號7F,學校→收件人:簡珮君,產研界/學校自費→收件人:簡靜美

30 測試報告停止收書面報告 2.測試報告填寫表格請至CIC網頁晶片製作-> 申請表格下載-> 選擇前瞻性測試報告格式或教育性測試報告格式下載。(目前已更新為94年新版本,請勿再用舊版本) 3.繳交報告者須自行備份寄送電子檔當日傳送資料或儲存傳送回條至少三個月,當作申覆依據。設計者請定期上CIC網查詢測試報告缺繳紀錄,若有紀錄錯誤, 請儘早和測試報告收件人員聯繫, 提供證明, 以免損失您下線權益. 4.未來CIC年度論文集將以光碟燒錄方式寄送各校圖書館及國家圖書館留存。

31 儀器設備使用申請 儀器設備使用申請或技術諮詢
欲借用打線機同學皆須上過訓練課程,每次課程開課時間約為收到晶片前一周 詳細課程時間會公佈於e-news上.所有開課訊息也都會mail給所有老師 竹科:張恆茹小姐,電話 , 繳交測試報告 傳送電子檔測試報告(Word格式)至: 教育性/前瞻性晶片 – 竹科 張恆茹小姐(03) Testkey- 各製程相關負責人, 例如:UMC 0.18um Mixed Signal (1P6M) CMOS 負責人 陳益誠先生,TSMC 0.35um Mixed-Signal (2P4M) CMOS使用Cell-Based Flow 負責人許志賢先生

32 常見不受理申請原因 缺交測試報告,教授累計測試報告三篇未繳 ,學生任一篇測試報告未繳。 逾時、逾期上傳檔案或送交申請書或檔案不完整。
無驗證結果說明,layout 檔案不完整。 教育性晶片課程名稱及授課教授與「成績計分點名單」不符,或參與學生姓名與「成績計分點名單」不符。 針對審查委員所提之建議或修改事項提出之修改內容傳回時間超出規定時限。 針對審查委員所提之建議或修改事項提出之修改內容未傳回或傳回不完整。 此梯次面積不足,依評審成績及指導教授之 Paper credit 比較後,無法提供面積 給予下線。 設計案面積超過申請項目之限制大小。 電子檔打不開。 用錯 Technology File 。 DRC Error 。

33 其他注意事項-1 TSMC 0.35um SiGe製程因驗證軟體版本更新,使用舊版本之DRACULA DRC, LVS將發生無法預期錯誤,因此CIC將不再提供DRACULA command file,改以提供Calibre DRC, LVS, LPE之rule file作驗證,下線者均需改附Calibre 之驗證結果。 若在CIC RF Testkey Library中已有資料, 將不接受申請製作, 查詢方式: CIC Web Site => 晶片製作 => 晶片測試辦法及量測資料下載 智慧財產權切結書填寫要完整,中文專稱題名稱要與申請書上的專題名稱相符. 每次申請請至CIC網頁下載申請表格, 切勿再使用學長姐傳下來的舊表格. 教育性申請者請附表2/2之電子檔,以避免同一教師多項課程申請造成混淆. 教育性申請之研究內容,請勿將佈局圖當做電路詳圖貼附,以免被認定研究內容缺漏而不受理. 請勿直接將TSMC 0.35um 2P4M製程當作TSMC 0.35um 1P4M的擴充版,而混用spice model以及 technology file。

34 其他注意事項-2 提醒學校自費晶片製作申請者注意:若您有TSMC 0.18/0.35 SiGe製程及 UMC 0.18 製程擬晶片製作,請儘早提出申請。因此三製程為Foundry廠的shuttle製程,以方塊(block ,5000x5000 um^2)數訂購,而 每梯次的方塊數有限,故申請者須於時程表申請截止前三個月預約。如未能提前預約,則無法確認foundry廠有方塊數可供下線。 TSMC 0.18製程T18-94B(F)/94E(F)梯次以full wafer方式tape out,有較多面積提供申請者使用,歡迎多加利用! 新增「學術界下線繳交佈局檔及注意事項」,申請者下線前應注意此項訊息,相關內容見網址: 請勿自行定義打線圖Pin腳位置編號, 以免造成跨線, 詳情請參考CIC網頁94年度晶片製作之打線圖  UMC 0.18um Cell-Base製程,上傳目錄獨立為CBU18。原有TSMC 0.35um Cell-Base製程目錄由COMPASS35更名為CBT35。目錄用途請參考:

35 其他注意事項-3 提醒 I/O Library、Cell-Based以及MEMS製程的使用者 :
1.若您有使用I/O Library或 Cell Library 請務必在晶片製作申請表上做正確的勾選。 2.I/O Library 所指的是晶片送至CIC後,由CIC做合成的I/O Library ,並非同學自行設計、透過其他管道所取得的Cell Library或是裸PAD。 3.Cell Library 所指的是晶片送至CIC後,由CIC做合成的Cell Library (使用Cell-Based Flow),並非同學自行設計或是由其他管道所取得的Cell Library 4.MEMS製程指的是利用CIC提供的後製程(由RLS光罩定義)。若無利用CIC提供之後製程則不必勾選。 5.請謹慎勾選,以免因勾選錯誤而造成晶片無法正常工作

36 其他注意事項-4 1. 使用CIC所提供之cell-library者(I/O pad除外),晶片申請案不論面積大小均 要參加複審會
3. 勾選項目如下 使用製程: (1) UMC 0.18um 1P6M CMOS (使用Cell-Based Design Kit(UMC/Artisan) ) (2) TSMC 0.18um 1P6M CMOS (3) TSMC 0.35um 2P4M Mixed-mode (使用Cell-Based Design Kit(TSMC/TSMC), 使用TSMC I/O pad, 使用CMOS MEMS(使用CIC的後製程), 使用CMOS MEMS(後製程自行處理) (4) TSMC 0.35um 3P3M SiGe BiCMOS (5) GCT 2.0um HBT:  1mm x 1mm  1mm x 2mm  1.5mm x 1mm  1.5mm x 2mm  2mm x 1mm  2mm x 2mm  2.5mm x 1mm  2.5mm x 2mm  3mm x 1mm  3mm x 2mm (6) WIN 0.15um PHEMT:  1mm x 1mm  1mm x 2mm  1.5mm x 1mm  1.5mm x 2mm  2mm x 1mm *使用CIC所提供之cell-library且需要CIC做replace者,請務必勾選 使用Cell-Based Design Kit *使用CIC所提供之I/O Pad(不含裸PAD)且需要CIC做replace者,請務必勾選 使用TSMC I/O pad

37 其他注意事項-5 1.為正確分類所設計電路之屬性以方便委員審查並加速作業,新增設計電路所
屬類別一項,請務必勾選否則將可能會因未註明清楚而導致給不同專長領域 的委員來審查的結果。 2. 勾選項目如下 設計電路所屬類別: (1) Digital (2) Analog (3) RF (4) RF MEMS (5) Sensor MEMS 3.前瞻性/教育性/測試元件「晶片製作申請及審查流程」係針對學校提出晶片 製作申請時,為達到資源共享,作業整合,並配合下線廠商的時程,而分數 個階段進行審核及處理,作為晶片製作申請應遵循之規則。相關資料下載網 址: 進入選項「製程服務」→ 「下線導引」 。

38 其他注意事項-6 1.由於UMC 0.18um製作上考慮到density的問題, 故會在每一層加上dummy metal, 致使同學的設計成品無法顯現電路圖形, 造成打線時方向腳位無法辨識, 故請同學們在繳交打線圖時, 請標示電路未rotate時的左下角位置(範例如下), 以使封裝廠能正確地找到實際腳位. 2. 其他製程使用cell lib.的同學亦請在打線圖左下角做標記, 以確認晶片方向. 各位同學需使用’+’來標記打線圖中Die的左下角的位置,以確保包裝過程之正確性. or

39 CIC FTP目錄結構 1P6M18 製程目錄 申請FTP#目錄 1P5M25 new 已申請/上傳之目錄 01 02 閉鎖用目錄
ADV2P4M35 01 已申請/上傳之目錄 02 EDU2P4M35 閉鎖用目錄 CBT35 lock CBT25

40 檔案上傳流程(第一階段) 進入FTP站 進入製程目錄 離開FTP站等待 進入new目錄 15分鐘再上站 失敗 成功 上傳一小檔案
開始第二階段上傳

41 檔案上傳第一階段—申請FTP Number
%ftp 輸入FTP指令,進入FTP對話環境(PC亦同) ftp>open ftp.cic.org.tw 開啟至ftp.cic.org.tw,port 3000 Name(….):layout           使用者名稱輸入layout password為申請者之 ftp>cd 1P5M 進入1P5M25製程之目錄 ftp>cd new 進入新的目錄已取得ftp編號 順利的話,你所在的目錄是 1P5M25/new 同時你會拿到一個目錄編號。若此時無法進入,代表有其它申請者正在上傳檔案,請稍後再試。若有人卡死,正常狀況下,該君將在半小時內自動被系統踢掉 ftp>bin 設定上傳檔案格式為binary ftp>put xxxx.drc 先將drc結果等小型檔案上傳 ftp>bye 離開命令列ftp軟體 上傳第一階段已完成,務必將所得到的ftp no填入申請書中。離線後可以立即再度上傳剩餘檔案

42 檔案上傳流程(第二階段) 進入FTP站 進入製程目錄 進入和取得編 號同名的目錄 上傳所有檔案 上傳“ok”檔 離開FTP站

43 檔案上傳第二階段 %ftp 輸入FTP指令(再度連線),進入FTP對話環境(PC亦同)
ftp>open ftp.cic.org.tw 開啟至ftp.cic.org.tw,port 3000 Name:layout           使用者名稱輸入layout password為申請者之 (須與第一階段所使用之 相同) ftp>cd 1P5M25 ftp>cd 進入與取得編號同名目錄 現在,你所在的目錄是 1P5M25/03 ftp>bin ftp>put *.gds 上傳除了“ok”檔外的所有檔案 ftp>put *.doc ftp>put ok 最後上傳“ok”檔,一定要最後再上傳! ftp>bye % 上傳已經完成,接下來可以等待系統檢查結果

44 晶片資料確認 打開瀏覽器鍵入 http://www2.cic.org.tw/~shuttle/chipworks/
點選您所上傳製程連結,如EDU1P4M35 鍵入網址 選擇製程 錯誤原因 與處理

45 晶片資料確認(續) 在網頁中尋找自己 的編號,確認檔案 名稱等資料正確性 編號01號佈局 檔資料列表 編號02號佈局 檔資料列表

46 檔案上傳注意事項-1 上傳前請確定檔案均無夾帶病毒 上傳前注意檔案均為最後版本,申請書電子檔需整合為單一檔案
取得編號後,請先退出“new”目錄,再“cd”至所取得之編號資料夾內上傳檔案,以避免個人佔用“new”目錄過久,影響其他同學攫取編號 請記住自己的編號,避免檔案傳送至其他申請者之資料夾 請於截止日前必須傳送完全部所需資料,逾時不予受理 傳送完成後,請再次確認檔案大小是否相符,確保檔案完整性

47 檔案上傳注意事項-2 上傳檔案時,請務必先下“bin”指令,以免檔案損壞或無法讀取
若檔案傳錯或更動需要更新檔案再上傳,則須更改檔案名稱;重新上傳佈局檔,需再附上DRC, LVS驗證結果,並再上傳“ok”檔,並務必記得再度至網址( 只要有上傳檔案,都務必記得再度瀏覽網頁確認自己資料正確性,以免因資料不正確而喪失下線資格

48 自行閉鎖檔案上傳權限 如果已經確認自己的佈局檔一切正確,可以自行將目錄上傳權限閉鎖(亦可開鎖)。請參考 中的說明。上傳目錄的權限亦可由上述連結看到。 上傳截止後(上傳截止日當天17:00),目錄將被CIC閉鎖,使用者亦無法自行開鎖。此時無法再上傳檔案,請再度瀏覽網頁確認自己資料正確。若先前資料正確,而在17:00後遭人竄改,為了避免晶片製作錯誤,請一定要聯絡CIC,我們會依照檔案更新先後紀錄處理。

49 1. 口頭報告時間分配,每人20分鐘(包括審查委員問問題)
審查會注意事項 1. 口頭報告時間分配,每人20分鐘(包括審查委員問問題) (1) 相關研究發展現況及研究動機 .....…2 分鐘 (2) 架構簡介及電路設計 分鐘 (3) 模擬結果 分鐘 (4) 佈局驗証及包裝結果 分鐘 (5) 測試考量 分鐘 (6) 審查委員發問 分鐘 2. 請同學提早30分鐘在現場等候,以隨時遞補不克前來 的同學

50 審查會場次安排說明 近來隨著下線申請件數不斷地增加,CIC竹科辦公室現有場地數量已無法容納每一梯次所有的下線申請件數來安排委員審查。同時為了不超過每一場次審查委員之負荷量,在考量到有些受邀之審查委員可能因交通往返不便和時程安排上面的困難而無法參加時恐造成審查會委員出席人數不足之虞而影響到審查會的品質,CIC將視實際情況需要得以安排至南科場次。 茲說明如下:  1. 對於安排至CIC南科辦公室審查會場次者,原則上以南部學校(彰化(含)以南)為第一優先,之後依序為中部和北部學校.已安排至南科者若無特殊因素(註1.),原則上不予安排至竹科的審查會。  2.北部學校按申請時上傳檔案號碼之先後順序依序從竹科場次安排起,其餘視實際情況得安排至CIC南科辦公室之場次。  註.1: 有特殊因素者需於審查會場地公告前1天提出申請,並說明原因由CIC評估可能性後安排之,逾時不受理安排!

51 其他應注意事項 除了為電路間的相互比較,否則一份申請書只能包含一個電路。 口頭報告資料以投影片為主,每一個會場均提供投影機。7F的大會議
驗證結果說明(DRC, LVS),需以條列方式敘述,說明錯誤原因,並附 註所使用之驗證檔案之版本。(如calibre DRC Ver. 2.2P3) 口頭報告資料以投影片為主,每一個會場均提供投影機。7F的大會議 室、訓練教室A、B與C提供單槍投影設備,欲使用者請攜帶光碟片與USB隨身碟(建議兩者皆準備),並準備意外狀況使用之透明投影片(CIC並不提供列印投影片)。若因CD-ROM無法讀取,需以USB隨身碟或投影片(無準備者需自行負責)勿攜帶筆記型電腦。 口頭報告的申請者,應按時間表提早30分鐘到達會場,遲到者以棄權 論,CIC不再額外安排審查時間。 

52 審查會後資料修改 僅在審查會中得到委員同意者,方可進行資料修改。如資 料需修改,需於期限內完成,並以一次為限
修改後之書面資料需附於申請書後,並整合為單一檔案;上傳時,請先來電告之工程師其製程種類與編號。 修改之書面資料Mail給所負責之工程師或傳真至CIC,內容請註明製程種類、編號,傳真者請加註負責之工程師。 申請者由申請開始至公布下線名單前,需經常注意 與通訊方式,以利審查作業進行。

53 申請CMOS-MEMS製程下線注意事項 針對沒有工作站的使用者,CIC都有提供Linus版本的layout tool如Laker或是cadence的virtusal以及Linus版本的佈局驗證軟體Calibre,使用者若是只有PC也可以向CIC申請Linus版的軟體來安裝,詳細申請辦法請上CIC網頁的軟體使用申請查詢. CMOS-MEMS在設計上多半容易違反design rule,若是有疑問或建議可來電或來信給CIC負責CMOS MEMS的工程師.

54 申請Cell-Based前瞻性晶片製作注意事項
92年度開始的Cell-Based前瞻性晶片製作申請案件,都必須提供電路測試故障涵蓋率 (Fault Coverage) 的報告,因此針對使用Cell based設計流程所產生之數位邏輯部分,必須加上掃瞄 (Scan) 的測試架構,若電路有使用到內嵌式記憶體 (Embedded Memory),則須加上內置自測 (Memory BIST) 電 路。92年度之前瞻性晶片製作申請表以及Tapeout Review Form (for Cell-Based IC)將於11月底更新,敬請申請92年度Cell based前瞻性晶片製作者填寫更新之後的申請表 。 註:CIC已於2002年4月份的CIC eNews 18宣導

55 砷化鎵下線規定: 1. 每次下線前請確定所使用廠商的Cell library、DRC rule等有無變 動更新,若有更新,請務必使用最新的版本。 2.申請表的面積規格務必填寫正確,面積(__x__)=( X軸,Y軸 )座標,實際layout面積(包含die street面積)需符合申請表格上所可勾選之面積, 其他自訂大小之面積一概不予受理 。 3.最後上傳的檔案,必須跑過DRC (off-line),並附表詳細解釋所有 的DRC錯誤,若CIC發現DRC有錯誤而未予更正即上傳,將退回申請。 4.要上傳的gds file前,先自行測試gds能否正確import回去, 勿傳送轉檔錯誤的gds file。 5.申請表填寫上傳CIC後,下線的size不可自行要求變更, 除非審查結果要求縮小。 6. Layout座標的原點請務必放置在座標的中心原點(0,0)。

56 砷化鎵下線規定: 7.由於製程的限制,電晶體的擺向請依照廠商提供的Cell裡面同樣的擺放方向(fingers 必須平行於X軸),若新的Design manual新增擺放方面規定則依廠商規定統一變更擺放方向。 8.所填寫的面積大小,為包含die street的整體面積。 9.製程rules檢查錯誤,若有問題可與CIC工程師討論,不可逕行合理化(若懷疑廠商所提供之device有誤,請單獨跑此device之DRC,若真有誤才可將之合理化)。 10.檔案layout 上傳前,請詳細比對layout與電路的一致性,不可有漏畫電路的情形發生。 11.最後自行上網檢查檔案上傳編號、layout檔案名稱、面積大小、及所使用layout光罩層是否正確無誤。

57 TSMC 0.35um CMOS製程 TSMC standard I/O library使用注意事項
1. 佈局時請使用Create Instance的方式加入TSMC I/O PAD之佈局,請勿使用直接複製I/O PAD內部佈局的方式加入TSMC I/O PAD或將 TSMC I/O PAD的佈局做flatten的動作,以免發生無法替換TSMC I/O PAD的問題。 2.使用TSMC I/O PAD時,請將相鄰之TSMC I/O PAD佈局的邊緣貼齊,請勿任意將相鄰的兩個TSMCI/O PAD的佈局做部分重疊,以免在替換TSMC I/O PAD之後造成DRC Errors。 3.請勿使用”pad”做為設計者自行設計之pad的cell name,因設計者的pad與TSMC的pad的大小與佈局內之座標位置不一定相同,在替換I/O PAD時,將設計者的pad替換成TSMC的pad後,可能造成開路、短路等問題。 4.除Design Rule Document內規範不可用來當作cell name的名稱外,下列名稱也不可做為您所設計任何一個cell的cell name : con, v1, v2, v3, diode5vt, dm5vt, dmpad, io_all。另TSMC I/O PAD的cell name請勿任意更改以免發生無法替換I/O PAD的狀況。 並請勿更改I/O PAD的cell name。


Download ppt "製程服務注意事項說明 (含製程申請、晶片製作) 晶片實作組 2005/10/27."

Similar presentations


Ads by Google