Presentation is loading. Please wait.

Presentation is loading. Please wait.

Altera QuartusⅡ软件操作基础

Similar presentations


Presentation on theme: "Altera QuartusⅡ软件操作基础"— Presentation transcript:

1 Altera QuartusⅡ软件操作基础
GG Altera QuartusⅡ软件操作基础 2009年4月

2 主要内容 QuartusⅡ软件的简介和工程的基本设计流程;

3 一、 QUARTUS II概述 QUARTUS II是美国Altera公司提供的可用于可编程片上系统(SOPC)开发的综合开发环境,是进行SOPC设计的基础. 集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证和仿真.

4 二、QUARTUSII的基本操作步骤

5

6 1.

7 要注意的地方 由于Quartus II只对项目进行编译,模拟,编程…而是不对单独的文件进行,所以要进行设计第一步就是建工程。 对于一个设计,创建一个单独的目录,该目录的路径从根目录开始都必须是英文名称,任何一级目录都不能出现中文字样,且不能包含空格,否则在读文件时会发生错误; 􀂉

8

9 GG 注意这里选用的具体期间要根据自己使用的FPGA或CPLD的具体型号来选

10 GG 当需要用到第三方EDA工具的文件时,在这里导入,点击finish,这样一个工程就建好了

11 2.输入设计文件 (1)输入设计文件有以下几种

12 (2)、输入设计文件-原理图输入法 1)新建工程之后,便可以进行电路系统设计文件的输入。选择file菜单中的New命令,弹出如图所示的新建设计文件类型选择窗口。产生一个新的图表模块/原理图文件 菜单: File > New > Block/Schematic document(原理图输入法为例子讲述)

13 2)选择New对话框 中的Device Design files页下的Block Diagram/Schematic File,点击OK, 打开如图所示的图 形编辑器对话框, 进行设计文件输入。

14 3)在图形编辑窗口 中的任何一个位置 双击鼠标,或点击 图中的“符号工具” 按钮,或选择菜单 Edit下的Insert Symbol命令,弹出 如右图所示的元件 选择窗口 Symbol 对话框。

15 4)用鼠标点击单元库前面的加号(+),库中的元件符号以列表的方式显示出来,选择所需要的元件符号,该符号显示在Symbol对话框的右边,点击OK按钮,添加相应元件符号在图像编辑工作区中,连接原理图。
十六进制同步计数器的原理图 如下:

16 3、原理图输入法-优缺点: 优点: 1)可以与传统的数字电路设计法接轨,即使用传统设计方法得到电路原理图,然后在QuartusⅡ平台完成设计电路的输入、仿真验证和综合,最后下载到目标芯片中。 2) 它将传统的电路设计过程的布局布线、绘制印刷电路板、电路焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本,减轻了设计者的劳动强度。 缺点: 1)原理图设计方法没有实现标准化,不同的EDA软件中的图形处理工具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性差,难以交换和管理。 2)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困难难以实现用户所希望的面积、速度以及不同风格的综合优化 3)原理图输入的设计方法不能实现真实意义上的自顶向下的设计方案,无法建立行为模型,从而偏离了电子设计自动化最本质的涵义。

17 3.编译设计文件 QuartusⅡ编译器的主要任务是对设计项目进行检查并完成逻辑综合,同时将项目最终设计结果生成器件的下载文件。编译开始前,可以先对工程的参数进行设置。 QuartusⅡ软件中的编译类型有全编译和分步编译两种。 选择QuartusⅡ主窗口Process菜单下Start Compilation命令,或者在主窗口的工具栏上直接点击图标 可以进行全编译 全编译的过程包括分析与综合(Analysis & Synthesis)、适配(Fitter)、编程(Assembler)、时序分析(Classical Timing Analysis)这4个环节,而这4个环节各自对应相应的菜单命令,可以单独分步执行,也就是分步编译。

18 分步编译就是使用对应命令分步执行对应的编译环节,每完成一个编译环节,生成一个对应的编译报告。分步编译跟全编译一样分为四步:
1、分析与综合(Analysis & Synthesis) :设计文件进行分析和检查输入文件是否有错误,对应的菜单命令是QuartusⅡ主窗口Process菜单下Start\Start Analysis & Synthesis,对应的快捷图标是在主窗口的工具栏上的 ; 2、适配(Fitter) :在适配过程中,完成设计逻辑器件中的布局布线、选择适当的内部互连路径、引脚分配、逻辑元件分配等,对应的菜单命令是QuartusⅡ主窗口Process菜单下Start\Start Fitter ;(注:两种编译方式引脚分配有所区别 )

19 3、编程(Assembler) :产生多种形式的器件编程映像文件 ,通过软件下载到目标器件当中去,应的菜单命令是QuartusⅡ主窗口Process菜单下Start\Start Assembler ;
4、时序分析(Classical Timing Analyzer) :计算给定设计与器件上的延时,完成设计分析的时序分析和所有逻辑的性能分析,菜单命令是QuartusⅡ主窗口Process菜单下Start\Start Classical Timing Analyzer ,对应的快捷图标是在主窗口的工具栏上的 。 编译完成以后,编译报告窗口Compilation Report会报告工程文件编译的相关信息,如编译的顶层文件名、目标芯片的信号、引脚的数目等等 。 全编译操作简单,适合简单的设计。对于复杂的设计,选择分步编译可以及时发现问题,提高设计纠错的效率,从而提高设计效率。

20 4.仿真设计文件 仿真的目的就是在软件环境下,验证电路的行为和设想中的是否一致。
FPGA/CPLD中的仿真分为功能仿真和时序仿真。功能仿真着重考察电路在理想环境下的行为和设计构想的一致性,时序仿真则在电路已经映射到特定的工艺环境后,考察器件在延时情况下对布局布线网表文件进行的一种仿真。 仿真一般需要建立波形文件、输入信号节点、编辑输入信号、波形文件的保存和运行仿真器等过程。

21 1 、建立波形文件 波形文件用来为设计产生输入激励信号。利用 Quartus II 波形编辑器可以创建矢量波形文件(.vwf)
创建一个新的矢量波形文件步骤如下: (1)选择QuartusⅡ主界面 File 菜单下的New命令,弹出新建对话框; (2)在新建话框中选择 Other Files 标签页,从中选择 Vector Waveform File,点击OK按钮,则打开一个空的波形编辑器窗口,主要分为信号栏、工具栏和波形栏 。

22

23 1 、建立波形文件 波形编辑器窗口

24 2、输入信号节点 (1) 在波形编辑方式下, 执行Edit菜单中的 Insert Node or Bus命令,或者在波形编辑器左边Name列的空白处点击鼠标右键,弹出的Insert Nodeor Bus对话框

25 (2)点击Insert Nodeor Bus对话框中的Node Finder…按钮,弹出Node Finder窗口,在此窗口中添加信号节点。

26 3、编辑输入信号 编辑输入信号是指在波形编辑器中指定输入节点的逻辑电平变化,编辑输入节点的波形。
在仿真编辑窗口的工具栏中列出了各种功能选择按钮,主要用于绘制、编辑波形,给输入信号赋值。 具体功能如下: :在波形文件中添加注释; :修改信号的波形值,把选定区域的波形更改成原值的相反值; :全屏显示波形文件; :放大、缩小波形; :在波形文件信号栏中查找信号名,可以快捷找到待观察信号; :将某个波形替换为另一个波形; :给选定信号赋原值的反值; :输入任意固定的值; :输入随机值 :给选定的信号赋值,X表示不定态,0表示赋0,1表示赋1,Z表示高阻态,W表示弱信号,L表示低电平,H表示高电平,DC表示不赋值。

27 :给信号赋计数值 ,先选中需要赋值的信号,然后鼠标右键点击此图标弹出如下图所示的Count Value对话框,然后赋值。
:设置时钟信号的波形参数,先选中需要赋值的信号,然后鼠标右键点击此图标弹出Clock对话框,在此对话框中可以设置输入时钟信号的起始时间(Start Time)、结束时间(End Time)、时钟脉冲周期(Period),相位偏置(Offset)以及占空比。 :给信号赋计数值 ,先选中需要赋值的信号,然后鼠标右键点击此图标弹出如下图所示的Count Value对话框,然后赋值。 Count Value对话框的Counting页 Count Value对话框的Timing页

28 为了观察编译器自动指定引脚分配情况: 使用命令Assignments︱Pin Planner 打开引脚规划器(Pin Planner)

29 观察引脚分配结果的方法: 鼠标移至已被分配的引脚(涂有深色)将显示对应端口名称。

30 5.编程下载设计文件 对设计进行验证后,即可对目标器件进行编程和配置,下载设计文件到硬件中进行硬件验证。
QuartusⅡ编程器Programmer最常用的编程模式是JTAG模式。 JTAG模式主要用在调试阶段,主动串行编程模式用于板级调试无误后将用户程序固化在串行配置芯片EPCS中。

31 1、JTAG编程下载模式 此方式的操作步骤主要分为3步: 选择QuartusⅡ主窗口的Tools菜单下的Programmer命令或点击 图标,进入器件编程和配置对话框。如果此对话框中的Hardware Setup后为“No Hardware”,则需要选择编程的硬件。点击Hardware Setup,进入Hardware Setup对话框,下页图所示,在此添加硬件设备。 配置编程硬件后,选择下载模式,在Mode中指定的编程模式为JTAG模式; 确定编程模式后,单击 添加相应的counter.sof编程文件,选中counter.sof文件后的Program/Configure选项,然后点击 图标下载设计文件到器件中,Process进度条中显示编程进度,编程下载完成后就可以进行目标芯片的硬件验证了。

32

33 1、JTAG编程下载模式 编程下载对话框

34 6.QuartusII设计流程小结 按照一般编程逻辑设计的步骤,利用QuartusⅡ软件进行开发是可以分为以下几个步骤:
(1)输入设计文件(编写VHDL程序或原理图文件等)【使用Text Editor】; (2)编译设计文件【使用Compiler】; (3)仿真设计文件【使用Waveform ditor,Simulator】; (4)安排芯片管脚位置【使用FloorplanEditor】; (5)编程下载设计文件至芯片【使用Programmer】 。


Download ppt "Altera QuartusⅡ软件操作基础"

Similar presentations


Ads by Google