Presentation is loading. Please wait.

Presentation is loading. Please wait.

第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序

Similar presentations


Presentation on theme: "第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序"— Presentation transcript:

1 第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序
第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序 11.4 系统仿真/硬件验证 11.5 设计技巧分析 11.6 系统扩展思路

2 11.1 系统设计要求 1971年,美国学者J.Tierncy、C.M.Reader和B.Gold提出了以全数字技术从相位概念出发直接合成所需波形的一种新的频率合成原理。随着技术和水平的提高,一种新的频率合成技术——直接数字频率合成(DDS,Direct Digtal Synthesis)技术得到了飞速发展。

3 DDS技术是一种把一系列数字形式的信号通过DAC转换成模拟形式的信号合成技术,目前使用最广泛的一种DDS方式是利用高速存储器作查找表,然后通过高速DAC输出已经用数字形式存入的正弦波。

4 DDS技术具有频率切换时间短(<20 ns),频率分辨率高(0
DDS技术具有频率切换时间短(<20 ns),频率分辨率高(0.01 Hz),频率稳定度高,输出信号的频率和相位可以快速程控切换,输出相位可连续,可编程以及灵活性大等优点,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。DDS广泛用于接受机本振、信号发生器、仪器、通信系统、雷达系统等,尤其适合跳频无线通信系统。

5 11.2 系统设计方案 DDS的工作原理 图11.1是DDS的基本原理图,频率控制字M和相位控制字分别控制DDS输出正(余)弦波的频率和相位。DDS系统的核心是相位累加器,它由一个累加器和一个N位相位寄存器组成。每来一个时钟脉冲,相位寄存器以步长M增加。

6 图11.1 DDS基本原理图

7 DDS的FPGA实现设计 根据图11.1,并假定相位控制字为0,这时DDS的核心部分相位累加器的FPGA的设计可分为如下几个模块:相位累加器SUM99、相位寄存器REG1、正弦查找表ROM和输出数据寄存器REG2,其内部组成框图如图11.2所示。图中,输入信号有时钟输入CLK,使能端EN,复位端RESET,频率控制字K,输出信号为Q。

8 图11.2 DDS内部组成框图

9 首先利用MATLAB或C语言编程对正弦函数进行采样;然后对采样数据进行二进制转换,其结果作为查找表地址的数值。

10 CLEAR TIC; T=2*PI/1024; t=[0:T:2*pi]; y=255*sin(t); round(y); 用C语言编写的正弦函数数据采样程序如下: #include "stdio.h" #include "math.h" Main( ) { int I; Float s;

11 For ( i=0;i<1024;i++) {s=sin(actan(1)*8*i/1024); Printf(" %d,%d; \n", (int)((s+1)*1023/2)); } 两个程序运行之后所得结果是一致的。

12 11.3 主要VHDL源程序 11.3.1 相位累加器SUM99的VHDL源程序 --SUM99.VHD LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SUM99 IS PORT(K: IN STD_LOGIC_VECTOR(9 DOWNTO 0);

13 CLK: IN STD_LOGIC; EN: IN STD_LOGIC; RESET: IN STD_LOGIC; OUT1: OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END ENTITY SUM99; ARCHITECTURE ART OF SUM99 IS SIGNAL TEMP: STD_LOGIC_VECTOR(9 DOWNTO 0); BEGIN PROCESS(CLK, EN, RESET) IS

14 IF RESET='1'THEN TEMP<=" "; ELSE IF CLK'EVENT AND CLK='1'THEN IF EN='1' THEN TEMP<=TEMP+K; END IF; OUT1<=TEMP; END PROCESS; END ARCHITECTURE ART;

15 相位寄存器REG1的VHDL源程序 --REG1.VHD (REG2.VHD与REG1.VHD相似) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY REG1 IS PORT(D: IN STD_LOGIC_VECTOR(9 DOWNTO 0); CLK: IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END ENTITY REG1;

16 ARCHITECTURE ART OF REG1 IS
BEGIN PROCESS(CLK) IS IF(CLK'EVENT AND CLK='1')THEN Q<=D; END IF; END PROCESS; END ARCHITECTURE ART;

17 正弦查找表ROM的VHDL源程序 --ROM.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ROM IS PORT (ADDR:IN STD_LOGIC_VECTOR (9 DOWNTO 0); CLK:IN STD_LOGIC; OUTP:OUT SIGNED (8 DOWNTO 0));

18 END ENTITY ROM; ARCHITECTURE ART OF ROM IS BEGIN PROCESS (CLK) IS IF (CLK'EVENT AND CLK='1')THEN CASE ADDR IS WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" ";

19 WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" ";

20 WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" ";

21 WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" "; WHEN " "=>OUTP<=" ";

22 WHEN OTHERS=>OUTP<="000000000";
END CASE; END IF; END PROCESS; END ARCHITECTURE ART;

23 系统的整体组装DDS的VHDL源程序 --DDS.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DDS IS PORT(K:IN STD_LOGIC_VECTOR(9 DOWNTO 0); EN:IN STD_LOGIC; RESET:IN STD_LOGIC; CLK:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(8 DOWNTO 0));

24 END ENTITY DDS; ARCHITECTURE ART OF DDS IS COMPONENT SUM99 IS PORT(K:IN STD_LOGIC_VECTOR(9 DOWNTO 0); EN:IN STD_LOGIC; RESET:IN STD_LOGIC; CLK:IN STD_LOGIC; OUT1:OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END COMPONENT SUM99; COMPONENT REG1 IS

25 PORT(D:IN STD_LOGIC_VECTOR(9 DOWNTO 0);
CLK:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END COMPONENT REG1; COMPONENT ROM IS PORT(CLK:IN STD_LOGIC; ADDR:IN STD_LOGIC_VECTOR(9 DOWNTO 0); OUTP:OUT STD_LOGIC_VECTOR(8 DOWNTO 0)); END COMPONENT ROM;

26 COMPONENT REG2 IS PORT(D:IN STD_LOGIC_VECTOR(8 DOWNTO 0); CLK:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(8 DOWNTO 0)); END COMPONENT REG2; SIGNAL S1:STD_LOGIC_VECTOR(9 DOWNTO 0); SIGNAL S2:STD_LOGIC_VECTOR(9 DOWNTO 0); SIGNAL S3:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN

27 U0:SUM99 PORT MAP(K=>K, EN=>EN, RESET=>RESET, CLK=>CLK, OUT1=>S1);
U1:REG1 PORT MAP(D=>S1, CLK=>CLK, Q=>S2); U2:ROM PORT MAP(ADDR=>S2, CLK=>CLK, OUTP=>S3); U3:REG2 PORT MAP(D=>S3, CLK=>CLK, Q=>Q); END ARCHITECTURE ART;

28 11.4 系统仿真/硬件验证 系统的有关仿真 系统的有关仿真如图11.3~11.5所示,请读者自己对仿真结果进行分析。从仿真结果可以看出,对应模块的设计是正确的。

29 图11.3 相位累加器SUM99的仿真结果

30 图11.4 正弦查找表ROM仿真结果

31 图11.5 整个系统DDS的仿真结果

32 系统的硬件验证 DDS的输入频率控制字K有10位数据,输出数据Q为9位,并且ROM需1024个存储单元,需要占用的系统比较大。但我们所拥有的实验开发系统所配的适配板的资源可能有限,如我们在进行该实验时所用的芯片为ALTERA公司的EP1K30TC144芯片,这时我们直接进行硬件验证会遇到困难。因此我们需要进行变通,想办法进行硬件验证或部分验证。

33 在本设计的硬件验证过程中,针对实验开发系统所提供的输入、输出资源的限制及芯片逻辑资源的限制,我们采取了如下变通办法:
(1) 在DDS的前端增加一个信号发生器,该信号发生器实际就是一个数据锁存器,当控制信号LOCK有效时,将事先设定的频率控制字输出送入相位累加器。设定的频率控制字可在程序中随时修改。该信号发生器的VHDL源程序如下:

34 --REG0.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY REG0 IS PORT(CLK: IN STD_LOGIC; LOCK:IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END ENTITY REG0; ARCHITECTURE ART OF REG0 IS

35 BEGIN PROCESS(CLK) IF(CLK'EVENT AND CLK='1')THEN IF LOCK='1' THEN Q<=" "; --此时设定的频率控制字为1FH,可根据需要进行修改 END IF; END PROCESS; END ARCHITECTURE ART;

36 (2) 由于能够使用的FPGA的芯片EP1K30TC144的逻辑资源不够,因此对DDS内部最占用资源的ROM模块进行了修改。原模块是对一个完整的正弦波进行采样,我们可以只采样正弦波的上半周,这样就可以节省一半的硬件资源。如果只对正弦波的上半周进行采样,那么在进行ROM的设计时,就可以将原来的输入数据由9位变成8位,可以将用来表示符号的最高位省去,这样也可以省去很多的硬件资源。

37 11.5 设计技巧分析 (1) 在正弦查找表ROM的设计中利用MATLAB或C语言编程对正弦函数进行采样,非常方便地得到了正弦函数的采样数据。 (2) 在本设计的硬件验证过程中,针对实验开发系统所提供的输入、输出资源的限制及芯片逻辑资源的限制,采用了如下变通的办法进行硬件验证:

38 ① 在输入环节加入了一个数据锁存器,用“软设置”代替按键“硬设置”;② 在ROM的验证中,降低了系统的设计规模,减少了系统对逻辑资源的需求。其他类似问题也可参照该方法解决。这在实际的开发设计中,是在硬件验证中最常用也最重要的一种办法。

39 11.6 系统扩展思路 (1) 根据图11.1,在上述已有设计的基础上,加上相位控制字,将一个具有频率控制和相位控制的DDS的核心部分(相位累加器)重新进行设计和硬件验证:① 使用VHDL程序设计的方法;② 使用参数可设置的LPM兆功能块调用的方式进行设计。

40 (2) 在用FPGA设计好DDS的核心模块(相位累加器)后,加上DAC电路和LPM电路,构成一个完整的DDS,并用数字示波器等仪器设备进行实际测试,直到达到设计要求为止。


Download ppt "第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序"

Similar presentations


Ads by Google