EDA技术与Verilog设计.

Slides:



Advertisements
Similar presentations
有人说,世界的方向不仅在未来, 还在过去,需要转身才能发现。 — 王春元 中央电大工科机电类专业设置与课程建设的反思 国家开放大学工科机电类课程平台建设的构想 国家装备制造业发展现状与人才培养需求.
Advertisements

什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
SOPC技术 数字逻辑电路 主讲:刘昌华 嵌入式技术研究所
第1章 电子系统设计训练.
職涯座談:公司法務人員 主講人:胡廷澤 現任職於中天電視法務室.
温故知新 问题一:我国科技发展经过怎样的历程? 中华民族在历史上曾创造了辉煌灿烂的文化,世界领先;
数字系统设计及VHDL实践 专题二 专用集成电路(ASIC)设计概述 主 讲 人:徐向民 单 位:电子信息学院.
102-1 Under-Graduate Project FFT
初级会计电算化 (用友T3) 制作人:张爱红.
EDA技术 第9章 FPGA硬件设计.
实验四 利用中规模芯片设计时序电路(二).
第2章 FPGA/CPLD结构与应用.
软件企业涉税实务 嘉定区税务局.
第1章 绪论 1.1 EDA技术的涵义 1.2 EDA技术的发展历程 1.3 EDA技术的主要内容 1.4 EDA软件系统的构成
EDA 原理及应用 何宾 E - mail:
第1章 绪 论 1.1 EDA技术的涵义 1.2 EDA技术的发展历程 1.3 EDA技术的主要内容 1.4 EDA软件系统的构成
第10章 FPGA硬件设计 <EDA技术与应用> 课程讲义
1.2 FPGA的设计方法与要求 1.2.1现代数字系统的设计方法
电 子 信 息 工 程 系 热 烈 欢 迎 来自全国各地的新同学!.
全国计算机等级考试 二级基础知识 第二章 程序设计基础.
计算机基础知识 丁家营镇九年制学校 徐中先.
第1章 FPGA概述 1.1 FPGA的发展历程 1.2 FPGA的基本原理 1.3 FPGA的设计方法 1.4 FPGA的设计流程
授课对象:微电子、电子、计算机专业本科生、研究生 先修课:数字逻辑电路、Verilog
數位系統實驗 --課程介紹 教師:潘欣泰.
第1章 概 述.
EDA技术及其应用 第1章 概 述.
浅谈基于FPGA的电路设计 报告人:吴爱平 2005/11/13
版权所有,禁止未经授权的商业使用行为 何宾 Tel: 北京中教仪装备技术有限公司.
“互联网+FPGA” 未来计算实验室 --让FPGA使用更简单、更便利、更便宜 FPGA 在线实验.
EDA技术实用教程 第1章 概 述.
嵌入式系统课程简介 宋健建 南京大学软件学院 2004/02/10.
第二讲 搭建Java Web开发环境 主讲人:孙娜
授課教授 : 陳永耀 博士 學生 : 藍浩濤 P 電機所控制組
可编程器件与电子设计自动化 上海交通大学电工及电子技术实验中心 一九九九年三月.
PIC-CPLD原理和系统设计.
本章小结: 可编程逻辑器件(Programmable Logic Device,简称为 PLD)是目前数字系统设计的主要硬件基础。现场可编程门阵列 FPGA(Field Programmable Gate Array)现场可编程门阵列FPGA在结构上由逻辑功能块排列为阵列,功能由逻辑结构的配置数据决定,配置数据可以存放在片外的EPROM或其他存储体上,人们可以控制加载过程,在现场修改器件的逻辑功能。
Programmable Logic Architecture Verilog HDL FPGA Design
第17章 网站发布.
大作业要求 1、按照选题要求设计电路,并仿真、下载,实现电路。 2、撰写综合报告.
培训目标及内容 第一部分培训目标: PSoC概念 PSoC结构 PSoC设计流程 IP和设计复用技术 PSoC器件电气特性.
EDA 第一章 EDA技术概述.
EDA 第二章 可编程逻辑器件.
三:基于Eclipse的集成开发环境搭建与使用
程序设计工具实习 Software Program Tool
C语言程序设计 主讲教师:陆幼利.
第1章 概述 1.1 DSP实现方案及设计流程 1.2 现代DSP设计流程概述 1.3 两类DSP解决方案的比较.
第一章 认识PROTEL DXP   本章介绍了Protel DXP的在EDA设计中的作用机安装、卸载过程,对于已经熟练掌握Protel电路设计和计算机软件相关知识的用户,可以直接跳过本章,进入下一章的学习。
VisComposer 2019/4/17.
Platform Builder使用介绍 WINCE系统应用开发流程说明 ACTION RDC 杨 涛 2005.Dec.3th
第一章 概 述.
长春工业大学工程训练中心 EDA技术及应用实践课程 Maxplus Ⅱ 软件开发系统简述 主讲:刘春阳 长春工业大学工程训练中心
计算机网络与网页制作 Chapter 07:Dreamweaver CS5入门
SoC 與微控制器的發展 朱亞民.
现代计算机组成原理 潘 明 潘 松 编著 科学出版社.
第1章 EDA技术概述.
核探测与核电子学国家重点实验室 中国科学技术大学近代物理系 王照琪
第四章 QuartusII软件的应用 本章重点: 本章难点: EDA技术 QuartusII的设计输入 QuartusII软件的综合与编译
JSP实用教程 清华大学出版社 第2章 JSP运行环境和开发环境 教学目标 教学重点 教学过程 2019年5月7日.
长春理工大学 电工电子实验教学中心 数字电路实验 数字电路实验室.
2019/5/8 第2章 数据分析软件介绍.
魏新宇 MATLAB/Simulink 与控制系统仿真 魏新宇
可编程逻辑器件器件的应用(讲座1) 兰州石化职业技术学院 电子技术教研室 贾 达.
现代电子技术综合实验 陈学英.
ARM裸机第一部分-ARM那些你得知道的事
印制板制作软件Protel入门篇.
FVX1100介绍 法视特(上海)图像科技有限公司 施 俊.
电气工程学院“卓越工程师”计划 ——杭州中天微系统有限公司企业实习 2019/8/28.
学习数据结构的意义 (C语言版) 《数据结构》在线开放课程 主讲人:李刚
FPGA系统设计与实践 本章小结(第5章).
《NIOS II那些事儿》视频教程 --软件安装(一)
Presentation transcript:

EDA技术与Verilog设计

EDA技术与Verilog设计

第1章 EDA技术概述 1.1 EDA技术及其发展 1.2 Top-down设计与IP核设计 1.3 EDA设计的流程

EDA技术及其发展 1.电子CAD(Computer Aided Design) EDA(Electronic Design Automation) 就是以计算机为工作平台,以EDA软件工具为开发环境,以PLD器件或者ASIC专用集成电路为目标器件设计实现电路系统的一种技术。 1.电子CAD(Computer Aided Design) 2.电子CAE(Computer Aided Engineering) 3.EDA(Electronic Design Automation)

EDA技术的应用范畴

EDA技术的新发展 在FPGA上实现DSP应用 嵌入式处理器软核的成熟 自主知识产权 电子技术领域全方位融入EDA技术 电子领域各学科的界限更加模糊、互为包容 更大规模的FPGA和CPLD器件不断推出 IP核的广泛应用 SoC高效低成本设计技术的成熟

现代EDA技术的特征 1.硬件描述语言设计输入 2.“自顶向下”设计方法(Top-down) 3.开放性和标准化 4.高层综合与优化

1.2 Top-down设计与IP核设计 Top-down设计

Bottom-up设计 缺点:效率低、易出错

IP(Intellectual Property)核 IP(Intellectual Property):原来的含义是指知识产权、著作权,在IC设计领域指实现某种功能的设计。 IP核(IP模块):指功能完整,性能指标可靠,已验证的、可重用的电路功能模块。 IP复用(IP reuse)

IP核与SOC设计 软IP--用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。

SOC: SYSTEM ON a CHIP

1.3 EDA设计的流程 设计输入(Design Entry) IEEE标准 (1)ABEL-HDL (2)AHDL (3)VHDL 1. 原理图输入(Schematic diagrams ) 2、硬件描述语言 (HDL文本输入) (1)ABEL-HDL (2)AHDL (3)VHDL IEEE标准 (4)Verilog HDL 硬件描述语言与软件编程语言(C、PASCAL等)有本质的区别

综合(Synthesis) 将较高层次的设计描述自动转化为较低层次描述的过程 ◆行为综合:从算法表示、行为描述转换到寄存器传输级(RTL) ◆版图综合或结构综合:从逻辑门表示转换到版图表示,或转换到PLD器件的配置网表表示 综合器是能够自动实现上述转换的软件工具,是能将原理图或HDL语言描述的电路功能转化为具体电路结构网表的工具

软件编译器和硬件综合器区别 CPU指令/数据代码: COMPILER 010010 100010 1100 硬件描述语言 C、ASM... 程序 软件程序编译器 COMPILER CPU指令/数据代码: 010010 100010 1100 (a)软件语言设计目标流程 硬件描述语言 综合器 SYNTHESIZER VHDL/VERILOG. 程序 为ASIC设计提供的 电路网表文件 (b)硬件语言设计目标流程

适 配 适配器也称为结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,并产生最终的可下载文件 适 配 适配器也称为结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,并产生最终的可下载文件 对CPLD器件而言,产生熔丝图文件,即JEDEC文件;对FPGA器件则产生Bitstream位流数据文件

仿真(Simulation) 仿真是对所设计电路的功能的验证 功能仿真(Function Simulation) 时序仿真(Timing Simulation)

编程(Program) 把适配后生成的编程文件装入到PLD器件中的过程, 或称为下载。 通常将对基于EEPROM工艺的非易失结构PLD器件的下载称为编程(Program),将基于SRAM工艺结构的PLD器件的下载称为配置(Configure)。

1.4 常用的EDA软件工具 集成的CPLD/FPGA开发工具 逻辑综合工具 仿真工具 其他设计工具

集成的CPLD/FPGA开发工具

逻辑综合工具(Synthesis Tools)

仿真工具(simulation tools)

1.5 EDA技术的发展趋势 市场对系统的集成度不断提出更高的要求。 超大规模集成电路的集成度和工艺水平不断提高。 市场对系统的集成度不断提出更高的要求。 高性能的EDA工具,其自动化和智能化程度不断提高,为嵌入式系统设计提供了功能强大的开发环境。 计算机硬件平台性能大幅度提高,为复杂的SoC设计提供了物理基础。

习 题 1-1 现代EDA技术的特点有哪些? 1-2 什么是Top-down设计方式? 1-3 数字系统的实现方式有哪些?各有什么优缺点? 习 题 1-1 现代EDA技术的特点有哪些? 1-2 什么是Top-down设计方式? 1-3 数字系统的实现方式有哪些?各有什么优缺点? 1-4 什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义? 1-5 用硬件描述语言设计数字电路有什么优势? 1-6 基于FPGA/CPLD的数字系统设计流程包括哪些步骤? 1-7 什么是综合?常用的综合工具有哪些? 1-8 功能仿真与时序仿真有什么区别?