EPF10K10TI144-4晶片燒錄.

Slides:



Advertisements
Similar presentations
H T U 報告者:周振聰 僑生處 主任. h T U 免會考成績入學 h T U 未來望 您想學 一技之長嗎 ? 歡迎參加莊敬建教班 不但三年免學費,且 保證讓您學到一技之 長,脫離貧窮,邁向 光明的人生 免試入學.
Advertisements

桃園地景藝術節 最近桃園在舉辦桃園地景藝術節,種共有五大主題區, 分別是:黃色小鴨展區、巨型蓮花展區、草間點點展區、范姜 古厝展區、新屋水巷展區。那這些景點到底個展覽什麼呢?讓 我們繼續看下去 …… 。
盈泰盛世精选 - 华泰并购投资基金 宝蓄财富 - 产品部. 产品基本要素 产品名称盈泰盛世精选华泰并购投资基金 管理人北京恒宇天泽投资管理有限公司 托管人国信证券股份有限公司 发行规模 1.2 亿元,以实际募集规模为准 人数限制 200 人上限 投资标的本基金委托将主要投向于华泰瑞联二期并 购基金中心(有限合合)(以企业登记的.
温州三中心理健康教育 上岗 C 证面试前交流 马 琳 2010 年 12 月 1 日. —— 自我个性分析.
4-2 、聚落的演變 人口的分布 自然環境特性、交通便利性及就業 機會等因素,常影響人們對於居住地點 的選擇。 臺灣西部地區的平原和盆地,地勢 較為低平、開發較早,在肥沃的土壤、 便捷的交通網路等有利的條件下,工商 業往來頻繁,人口較為密集。
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
第1章 电子系统设计训练.
小一家長會 訓育訊息 德性培育組 余家濂主任
「唐宋」抑或「宋明」:文化史和思想史研究視域變化的意義
加快培育和发展战略性新兴产业 科技部调研室 胥和平
2014年语言文字工作总结 党委学生工作部 2014年12月5日.
單元名稱: 尋求真愛.
注意力缺陷過動症學生 的認識與輔導 講員:孟岱融老師 日期:99年5月3日.
第3章: 產業與競爭環境 張緯良 世新大學資訊管理系.
高三政治二轮复习系列课件 专题十一  中华文化与民族精神.
第2章 SOPC硬件开发环境及流程.
文明建设,气象先行 ——农安县气象局 2.
滑雪美食街 組員:林韋伶、張雅弦、王佑盛、朱坤賢.
汪清县气象局创建省级文明单位工作展示 2016年8月
一次申报系统企业端 操作培训.
青岛市数字证书认证中心 2011年4月.
我国的宗教政策 第七课第三框.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
榕桥中学 校园文化建设情况汇报.
Combinational Logic 組合邏輯
升學應選擇符合自己性向、興趣或能力的學校就 讀,有五專、高職、高中、綜合高中或進修學校, 可要多花些時間了解一下哦!
2016年上半年工作汇报 后勤与保卫管理处 二○一六年七月.
ALTERA FLEX10K / FLEX10KE Emulation Board 使用說明書.
电工电子实验中心.
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
QUARTUSⅡ使用简介 高级电子系统设计课程 电路与系统 信息学院
LP-2900的周邊腳位規劃.
Programmable Logic Architecture Verilog HDL FPGA Design
使用VHDL設計--Moore Machine
9.1 家用电器.
EDA 技术实用教程 第 5 章 QuartusII 应用向导.
第六章 安全衛生工作守則 6-1 前 言  6-2 訂定依據相關法令規定  6-3 工作守則製作程序及製作前應注意事項  6-4 如何訂定適合需要之安全衛生工作守則  6-5 結 論.
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
指導老師:張世熙 主任 組長:4980C043林昱均 組員:4980C009邱淑琦、4980C010林秀芬
世外桃源~ 劍 潭 里 零碳排放里.
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
计算机学院 数字逻辑实验的要求.
指導老師:張世熙 主任 組長:4980C043林昱均 組員:4980C009邱淑琦、4980C010林秀芬
數位邏輯設計 VHDL.
K60入门课程 06 首都师范大学物理系 靳熙芃.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
燒 錄 中州技術學院 電子系 副教授 余文俊.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
相片典藏 臺北市立弘道國中總務處 防災校園建置計畫補助-個人防護具 品 名 數 量 30 4 工作手套 72 6 簡易雨衣 300
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
提昇教師專業會議(華人社區) 「教師專業行為表現」專題討論 學生和家長眼中的教師專業行為 日期:2005年10月29日 地點:香港教育學院C-Lp-01室 主講 :香港教育工作者聯會 韓湛恩老師.
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
Programmable Logic System Design
Presentation transcript:

EPF10K10TI144-4晶片燒錄

晶片腳位指定EPF10K10TI144-4 1.若要規劃腳位及進行燒錄驗證,則我們必須先選取晶片型式:EPF10K10TI144-4 2.執行【Assignments】/【Device】選項,指定這顆IC (EPF10K10TI144-4 ),然後再作一次完整的編繹程序。 2

Family:flex10k available device:EPF10k10TC144-4

晶片腳位指定 執行完整編譯後,我們便可從自動開啟的編譯報告中得知目前修改與上層(Top-level)的單體名稱、目前的晶片型式、晶片內所使用到的Logic elements、使用多少個Pin腳等資訊,我們可由此得知CPLD之資源使用比率。。 4

2.點選【Assignments】/【Pins】選項開啟Pin Planner視窗,以規劃元件的腳位。  晶片腳位指定:規劃元件的腳位 2.點選【Assignments】/【Pins】選項開啟Pin Planner視窗,以規劃元件的腳位。 5

Pin Planner視窗

更改接腳佈局,規劃到晶片I/O的腳位編號 為了配合各類不同實驗板周邊接腳設定的要求,必須自行更改接腳佈局 首先我們在表格“Node Name”欄下方選取所要設定的輸入或輸出腳位, 再至其同一列的“Location”欄位用滑鼠雙擊以開啟下拉選單,便可選擇電路所要規劃到晶片I/O的腳位編號。 7

8

完成腳位規劃後,再作一次完整的編譯程序以更新燒錄檔,準備進行晶片燒錄作業。 9

程式下載與測試 10

Driver

Driver安裝

選擇【Tools】/【Programmer】開始燒錄作業。 15

2.第一次使用時,若硬體尚未設定完成的話,請點選【Hardware Setup】,並在產生的Hardware Setup視窗中,點選【Add Hardware】,之後便可在Add Hardware視窗將「Hardware Type」設定成USB-Blaster[usb-0]。 17

確定燒錄檔案Halfadd.sof的Program/Configure欄位已經勾選。需點選要燒錄之檔案(反白) 按下【Start】開始燒錄。 確定Mode選項設定為【JTAG】 確定燒錄檔案Halfadd.sof的Program/Configure欄位已經勾選。需點選要燒錄之檔案(反白) 按下【Start】開始燒錄。 19

直接燒錄 Add files :燒錄檔 *.sof 設定驅動USB-Blaster[usb-0] 設定介面JTAG START

由於LP-2900實驗板上之L1~L12 LED燈為HI啟動 Pin141連接至LED的陽極共點端,在使用上我們必須將該腳位連接VCC才能致能這十二顆紅黃綠LED 因此若我們將電路配合LP-2900的設計改成下圖的型式,重新編譯再下載後,此時不僅晶片板(CHIP-BOARD)上連接IO7、IO8的小型LED會動作,實驗板左上方紅黃綠LED中的L1和L2也會配合SW7和SW8的壓按而有不同的明滅動作。 25

VHDL for LP2900 library IEEE; use IEEE.STD_LOGIC_1164.all;  entity bol is port ( A,B,C: in STD_LOGIC; D : out STD_LOGIC; LEDCOM: out STD_LOGIC); end bol; architecture a of bol is begin D <= ((not A) and B and (not C))or (A and B and (not C)); LEDCOM <= ‘1’; end a;   輸入 輸出 A B C D 1

VHDL for LP2900 以LED為輸出 LEDCOM設為pin141, pin142, pin143 pin141, pin142, pin143接至VCC(‘1’)

完成腳位規劃後,作一次完整的編譯程序。

Pin assignment

完成腳位規劃後,再作一次完整的編譯程序以更新燒錄檔,準備進行晶片燒錄作業。

資料開關(DATA SWITCHES) 33

紅黃綠 LED 34

陣列