Presentation is loading. Please wait.

Presentation is loading. Please wait.

陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日

Similar presentations


Presentation on theme: "陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日"— Presentation transcript:

1 陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
ESD-06 硬體合成實驗 Hardware Synthesis Experiments 陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日

2 實驗一:控制器硬體合成

3 VHDL Sample Code architecture miat of g0 is library ieee;
signal s0,s1,s2,s3,s4 : std_logic; begin process(clk,rst) if rst='0' then s0<='1'; s1<='0'; s2<='0'; s3<='0'; s4<='0'; elsif clk'event and clk='1' then if s0='1' and OK='1' then s0<='0'; s1<='1'; elsif s1='1' and L1='1' then s1<=‘0’; s2<=‘1’; s3<=‘1’; elsif s2='1' and s3='1' and L2='1' then s2<=‘0’; s3<=‘0’; s4<=‘1’; elsif s4='1' then s4<=‘0’; s0<=‘1’; end if; end process; V1<=s1; Start_M<=s2; V2<=s3; Stop_M<=s4; END miat; library ieee; use IEEE.STD_LOGIC_1164.all; entity g0 is port( clk : in std_logic; rst : in std_logic; OK : in std_logic; L1,L2 : in std_logic; V1,V2 : out std_logic; Start_M : out std_logic; Stop_M : out std_logic ); end g0;

4 Waveform Simulation

5 實驗二:演算法硬體合成 Sum=0; I=0; for(I=0;I<=10;I++) { Sum = Sum + I; }

6 實驗二:演算法硬體合成

7 模擬

8 實驗三:Pipelined控制器設計

9 Pipelined Control Sub-Grafcet

10 Stage Control Signal Generation


Download ppt "陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日"

Similar presentations


Ads by Google