第四阶段实验 ISP器件的设计与应用 一、实验目的 二、实验内容与要求 三、ISP器件的开发流程 四、EDA Pro2K实验系统介绍

Slides:



Advertisements
Similar presentations
彰化縣和美鎮 和仁國民小學 本土語言教育暨 台灣母語日訪視 簡 報. 一. 學校概況 校地面積 校地面積廣達三公頃 學生活動空間寬廣!
Advertisements

有人说,世界的方向不仅在未来, 还在过去,需要转身才能发现。 — 王春元 中央电大工科机电类专业设置与课程建设的反思 国家开放大学工科机电类课程平台建设的构想 国家装备制造业发展现状与人才培养需求.
未婚懷孕:你想清楚了嗎. 家事?國事?天下事? 台灣未成年小媽媽 比例冠亞洲 衛生署統計台灣性行為低齡化,最小的僅 十二歲半。 未成年懷孕,台灣是千分之十二點九五。 九月墮胎潮.
九年级物理一轮复习 第一章 声现象 知识要点. 1. 声音的产生和传播  ( 1 )声音的产生:声音是由于物体的振动产生的。  凡是发声的物体都在振动。振动停止,发声也停止。  ( 2 )声源:正在发声的物体叫声源。固体、液体、气体 都可以作为声源,有声音一定有声源。  ( 3 )声音的传播:声音的传播必须有介质,声音可以在.
2016年职业院校评估 指标和评估工具解读 上海市教科院 研究员 博导 马树超 2016年6月21日 1.
國立中興大學 法律學系     系所介紹          .
國立中興大學 法律學系     系所介紹          .
新約研讀 彼得前書複習 讀經組
與櫻花有約 櫻花開放時間 櫻花前線 賞花便當 京都機場(附近) 夜櫻 哲學之道.
香港扶貧計劃 關愛基金 Group 5 組員 馬曉真 余葆 董賽騫 蕭雪兒.
營利事業所得稅查核準則 相關概念介紹 南區國稅局 新營分局 林俊標 各位學員大家好:
美洲集团散拼项目分享 李维迪.
EDA技术 第9章 FPGA硬件设计.
电气与信息工程学院 学科建设情况汇报
學 號:997I0010、997I0024 組 員:洪韋鈴、王婷婷 日 期: 指導老師:王立杰 老師
软件企业涉税实务 嘉定区税务局.
江苏如皋钢铁有限公司 行车司机、起重司索指挥人员安全知识培训 部门(单位)名称:安环部 李雄飞
Chapter 5 Sequential Logic Circuit
公司法(六) 股份有限公司 1.
面試的準備與因應 面試!面勢!面飾!面釋!面適! 樹德科技大學 陳逸聰 教授
幼兒美勞試教 我想飛~~~~~ 四幼二A D 莊小萱 D 林昀儒 D 劉思妤
ALTERA FLEX10K / FLEX10KE Emulation Board 使用說明書.
电工电子实验中心.
AKA Embedded 开放实验室系列普及讲座之一 FPGA/CPLD的应用和开发简介
水煮FPGA 传统FPGA设计流程简介.
第10章 Verilog操作符 学习内容: 熟悉Verilog语言的操作符.
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
Chapter 5 Verilog 硬體描述語言
Chapter 5 Verilog硬體描述語言
Ch01-2 Verilog語法 資料流(DataFlow)設計 行為(Behavior)設計
EDA技术 廖义奎.
浅谈基于FPGA的电路设计 报告人:吴爱平 2005/11/13
第4章 常用EDA工具软件操作指南 4.1 Lattice ispEXPERT 操作指南
EDA原理及应用 何宾
实验六 电子秒表 实验目的 实验原理 实验内容 注意事项.
计数器分析 一、计数器的功能和分类 1、计数器的作用 记忆输入脉冲的个数,用于定时、分频、产生节拍脉冲及进行数字运算等等。 2、计数器的分类
第六章 时序逻辑电路 §6.1 概述 §6.2 寄储器 §6.3 计数器的分析 §6.4 计数器的设计 §6.5 计数器的应用举例
Danny Mok Altera HK FAE AHDL培训教材 Danny Mok Altera HK FAE 2018/12/9 P.1.
Verilog硬件描述语言基础.
EDA 技术及应用 实验安排.
電腦解題─流程圖簡介 臺北市立大同高中 蔡志敏老師.
EDA 技术实用教程 第 5 章 QuartusII 应用向导.
语法进阶.
时序电路设计 刘鹏 浙江大学信息与电子工程系 Apr. 24, 2011 EE141
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
MS Windows XP 作業系統使用操作簡介.
精简指令集(RISC)CPU的构造原理和设计方法
第六章 安全衛生工作守則 6-1 前 言  6-2 訂定依據相關法令規定  6-3 工作守則製作程序及製作前應注意事項  6-4 如何訂定適合需要之安全衛生工作守則  6-5 結 論.
实验四:验证牛顿运动定律 1.实验目的:学会用控制变量法来研究物理规律;探究加 速度与力、质量的关系,进而验证牛顿第二定律.
4 S7-200可编程控制器 基本概念 2019/4/13.
第14章 对验证的支持 学习内容 理解Verilog文本输出 理解不同的读取仿真时间的系统函数 理解 Verilog文件I/O功能.
计算机学院 数字逻辑实验的要求.
计算机EDA设计 教 程 北航计算机学院 艾明晶.
藝術大師-達利.
长春工业大学工程训练中心 EDA技术及应用实践课程 Maxplus Ⅱ 软件开发系统简述 主讲:刘春阳 长春工业大学工程训练中心
电工电子技术实验 电工电子教学部.
设计示例一 用门级结构描述D触发器:.
K60入门课程 06 首都师范大学物理系 靳熙芃.
第九章 循序邏輯應用 9-1 計數器 9-2 跑馬燈 9-3 紅綠燈 台北市私立景文高級中學 資電學程 吳永義 數位邏輯.
第四章 QuartusII软件的应用 本章重点: 本章难点: EDA技术 QuartusII的设计输入 QuartusII软件的综合与编译
数数据的基本操作 电子工业出版社.
服務教育課程 改制說明會 學生事務處 服務教育組
數位邏輯 第9章循序邏輯 9-1 正反器 9-2 暫存器 9-3 計數器.
动态扫描显示(实验四) 查询式键盘(实验五)
FPGA组合逻辑 王安然.
Verilog HDL 基本语法 STEP 2016/12/3.
2.4 让声音为人类服务.
第六章 时序逻辑电路的分析与设计 各位老师,同学,大家好!
按键处理部分 王安然.
Presentation transcript:

第四阶段实验 ISP器件的设计与应用 一、实验目的 二、实验内容与要求 三、ISP器件的开发流程 四、EDA Pro2K实验系统介绍 五、设计举例

ISP器件的设计与应用 一、实验目的 掌握可编程逻辑器件的应用开发技术 ——设计输入、编译、仿真和器件编程 ; 熟悉一种EDA软件使用 ; 初步掌握Verilog HDL语言的编程方法; 掌握层次化的设计方法。

ISP器件的设计与应用 二、实验内容与要求(共4周) 练习1 —— 十进制计数器(举例) 练习2 —— 大小比较器和60进制计数器 用原理图构成一个有清零和使能功能的十进制计递增数器(建议用74161宏模块) 编译和仿真 分配引脚并再次进行编译 下载 练习2 —— 大小比较器和60进制计数器 输入大小比较器的原理图 (见实验四十六图10.46.1) 编译和仿真 自己完成60进制计数器设计与仿真

ISP器件的设计与应用 二、实验内容与要求 练习3 ——篮球24秒定时器的设计(举例) 练习4——数字钟电路的设计(自己完成) 用Verilog HDL描述24秒定时器的功能 编译和仿真 引脚分配并再次进行编译 下载 练习4——数字钟电路的设计(自己完成)

数字钟电路设计(实验五十一) 基本要求: (见教材289页,要求自己完成) 选做内容: 具有“秒”、“分”、“时”计时功能,小时按24小时制计时。 具有校时功能,能够对“分”和“小时”进行调整。 具有整点报时功能。在59分51秒、53秒、55秒、57秒发出低音512Hz信号,在59分59秒时发出一次高音1024Hz信号,音响持续1秒钟,在1000Hz音响结束时刻为整点。 外电路提供3路时钟信号(2048Hz/1024Hz/1Hz)和译码显示电路。 选做内容: 小时改为12进制,即由1……12。 闹钟

三、ISP器件的开发流程

四、EDA Pro2K实验系统介绍 可用资源 8个数码显示(含8421译码) 8个LED发光管显示 1个带驱动的小型扬声器(蜂鸣器) 可显示0~9,A~F 8个LED发光管显示 1个带驱动的小型扬声器(蜂鸣器) 8个按键 4组时钟源

可用资源使用方法——引脚分配(锁定) 资源名称 引脚名称 引脚号 功能说明 CLK0 1/2/8 CLK1 CLK2 CLK3 3 5 6 1/4/16/64/1024/4096/16384/65536/12M/24M/48M 1/2/8 CLK1 CLK2 CLK3 3 5 6 7 1024/4096/32768 12M/24M/48M D8/D7/D6/D5 81/80/79/78 红/黄/绿/绿 D4/D3/D2/D1 73/72/71/70 绿/绿/黄/红 LED 蜂鸣器 时钟 SPK 83 资源 引脚名称 引脚号 模式二 K8/K7/K6/K5 19/18/17/16 2ms脉冲 K4/K3/K2/K1 11/10/9/8 按键 模式一 模式三 琴键电平 乒乓电平

可用资源使用方法——引脚分配(锁定) 资源 引脚名称 引脚号 38/39/47/48 30/35/36/37 65/66/67/68 SM8_ B0/B1/B2/B3 65/66/67/68 60/61/62/64 53/54/58/59 49/50/51/52 25/27/28/29 21/22/23/24 数码管8 数码管7 数码管6 数码管5 数码管4 数码管3 数码管2 数码管1 SM7_ B0/B1/B2/B3 SM6_ B0/B1/B2/B3 SM5_ B0/B1/B2/B3 SM4_ B0/B1/B2/B3 SM3_ B0/B1/B2/B3 SM2_ B0/B1/B2/B3 SM1_ B0/B1/B2/B3

五、设计举例 1. 十进制计数器的原理图设计 A. 输入原理图文件: 进入MAX+PLUSII软件,点击 ,新建一个原理图文件(选Graphic Editor file),按下页图输入原理图; 双击空白处,输入74161,回车,点击左键,放元件; 重复上述操作,放元件与非门(NAND2)、参考地(GND)、输入/输出引脚(INPUT/OUTPUT); 双击引脚名称处,更改引脚名称; 选择所用器件:Assign/Device-选FLEX10K系列EPF10K10LC84-4; 存盘。注意:必须存在某一个文件夹中。

1. 十进制计数器的原理图设计

1. 十进制计数器的原理图设计 B. 编译原理图文件: 将当前的原理图文件设置成为当前的工程:选File/Project/Set Project to Current File(或按Ctrl+Shift+J); 编译当前工程中的所有文件:MAX+plus II/compiler; 点击Start按钮,开始编译。

1. 十进制计数器的原理图设计 C. 对设计进行仿真: 新建一个波形文件:点击 ,选择波形编辑器; 点击OK按钮,进入波形编辑器;

1. 十进制计数器的原理图设计 C. 对设计进行仿真: 从网表中加入输入、输出信号节点到当前的文件:选Node/Enter Nodes from SNF…; (1)点击List,列出所有信号 (2)点击=>,选出需要的输入、输出信号 (3)点击OK,确定

1. 十进制计数器的原理图设计 C. 对设计进行仿真: 选择栅格尺寸:选Options/Grid size…; 设定仿真时间长度:选File/End time…(此处设定为30us); 利用左边的快捷图标,编辑输入(节点)信号的波形; 将选定信号的值设为0 将选定信号的值设为1 任意值x 高阻值z 对选中的信号取反 设定计数器的时钟信号clk 设定总线信号

1. 十进制计数器的原理图设计 C. 对设计进行仿真: 可参考下图设定输入信号: 选用默认的文件名存盘; 仿真: 选MAX+plus II/Simulator,在弹出的对话框中点击Start开始仿真,再点击Open SCF,可看到仿真波形。

1. 十进制计数器的原理图设计 C. 对设计进行仿真: 按住shift键,选中QD、QC、QB、QA4个信号,然后点击右键,选Enter Group…,输入总线名称Q[3..0],可以看到以总线形式显示的波形。

1. 十进制计数器的原理图设计 D. 分配输入、输出信号在器件上的引脚号: 选MAX+plus II/ Floorplan Editor,进入版图编辑环境; 选Layout/Device View,得到芯片的引脚图;

D. 分配输入、输出信号在器件上的引脚号: 选Layout/Current Assignments Floorplan,以当前的引脚分配作为标准 ; 根据实验板外接资源进行引脚分配,方法是:选中右上方待分配的引脚,按住左键并拖放到相应的引脚上去。按Delete键,可删除错误的分配。

D. 分配输入、输出信号在器件上的引脚号: E. 对器件进行编程: 引脚分配的结果:CLK ->3, CLRN -> 8, EN -> 9, QA->21, QB->22, QC->23, QD->24 ; 引脚分配完成后,重新编译一次,则分配的引脚生效 (选MAX+plus II/Compiler)。 E. 对器件进行编程: 选MAX+plus II/Programmer,弹出编程对话框; 检查编程文件名(t161.sof)和器件(EPF10K10LC84-4)是否正确; 若正确,接上硬件后,点击Configure按钮,直接对器件编程。 若错误,选File/Select Programming File…,重新选编程文件。

2. 十进制计数器的Verilog HDL设计 module CNT10 (nclr,clk,Q) input clk, nclr; /* 带有异步清零功能的十进制计数器 */ module CNT10 (nclr,clk,Q) input clk, nclr; output [3:0] Q; reg [3:0] Q; always @(posedge clk or negedge nclr) begin if (!nclr) Q <= 4‘b000; //clear else if (Q==9) Q <= 4‘b000; else Q <= Q + 1; end endmodule

3. 篮球24秒定时器的Verilog HDL设计 设计要求: Verilog HDL程序参考下页: (1)具有显示24S(24秒)计时功能; (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能; (3)计时器为24S递减计时器,其计时间隔为1S; (4)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 Verilog HDL程序参考下页:

module basketball30 (TimerH, TimerL, alarm, clk, nclr, nload, nstop); input clk, nclr, nload, nstop; wire clk, nclr, nload, nstop; output [3:0] TimerH, TimerL; reg [3:0] TimerH, TimerL; output alarm; always @(posedge clk or negedge nclr or negedge nstop or negedge nload) begin if (!nclr) {TimerH, TimerL} <= 8'h00; //clear else if (!nload) {TimerH, TimerL} <= 8'h24; //Load number else if (!nstop) {TimerH, TimerL} <= {TimerH, TimerL}; //stop counter else if ({TimerH, TimerL} == 8'h00) //if Timer=0, hold 0 no_change begin {TimerH, TimerL} <= {TimerH, TimerL}; end else if (TimerL==0) begin TimerH <= TimerH - 1; TimerL <= 9; end else begin TimerH <= TimerH; TimerL <= TimerL - 1;end end assign alarm = ({TimerH, TimerL} = = 8'h00) & (nclr = = 1'b1)& (nload = = 1'b1); //alarm endmodule

在MAX+plus II中实现篮球24秒注意事项: (1)输入Verilog HDL源程序时,使用文本编辑器,方法如下: 进入MAX+PLUSII软件,点击 ,新建一个文本文件(选Text Editor file); (2)存盘时,选用.v作为Verilog HDL的扩展名,且文件名必须与模块名相同; (3)编译、仿真、引脚分配与原理图输入时相同。

2006-2007学年第一学期考试安排 考试时间:第21周星期天(1月21日)(晚上):7:00-8:30 专 业 班 级 考试课程 教室 编号 电子 0405 电子测试与实验 西十二 S109 0406 S110 0409 N109 0410 N110

考试内容 开卷考试 1.仪器使用 (特别是示波器、电源的使用) 2.实验原理 (模拟电路部分和数字电路部分) 模拟电路部分:放大电路 数字电路部分: 3.设计题 (ISP、MAX+Plus II 使用) 已知电路的输入、输出波形,用硬件描述语言程序实现(如Verilog程序、VHDL程序、ABEL程序 )或图形方式设计结果。 MAX+Plus II 使用

预祝大家 取得好的成绩!