7.5 时钟设计.

Slides:



Advertisements
Similar presentations
课前寄语 1 、保持纪律 2 、相互配合. 第三节 公民的投资 —— 公民的存款储蓄 课堂导入.
Advertisements

旅遊實務Ⅰ 授課教師:李健民 上課班級: 320. 課程大綱 旅遊業之設立程序 旅行業組織結構 旅行業之分類 旅行業之管理.
親 ( 四 ) 親近神的路. 一、親的三字訣、七字訣: 親近神,親愛人; 與主交通親近神,同情關心親愛人。 甚麼是親? 1. 親有親近、親愛,更有關心、同情、親切的 意思。 2. 親的人與人沒有間隔,拉近人與人之間的距 離,並且樂意幫助人,與人相調建造在一起。
一、企业基本情况介绍 (一)企业简介 无锡市雷华科技有限公司成立于 2002 年 12 月,为中国航空工业集团公司雷华电子技术研 究所( 607 所)所属全资企业。公司位于美丽 的太湖之滨 -- 江苏无锡,公司北依江南名校 — 江南大学、长广溪湿地公园,南临商业文化中 心万达城。交通便利,距地铁口步行.
第二班群教師團隊 105 張心平 107 鐘于寧 106 黃意評 108 鄭婉茹. 第二班群之班親會說明 學校規定事項說明 教學活動說明 班群活動介紹.
差勤.
申論題要拿高分並不容易,因為他是 有一定的技巧的,如果你遵照下列技 巧來作答申論題,相信高分並不難拿, 其技巧如下:
FPGA设计与应用 大连理工大学软件学院 王洁 2011年春季.
102大學甄選入學 個人申請、繁星推薦說明 主講人:簡慧嫻.
新進教師研習 教務處報告 報告人:教務處 林永仁 2011 年 8 月31日.
「明清時期台灣古典散文」 教師:田啟文.
基督教倫理學 1 原則(一)﹕ 倫理學 及 不同的觀點.
第一章EXCEL高级应用 1.3 数据分析和决策.
新頒解釋函令 ● 所得稅扣(免)繳相關法令、 ● 所得稅扣(免)繳申報實務 ● 扣繳常見稅務違章類型 財政部南區國稅局屏東分局
中国职教学会质量保障与评估研究会2016年学术年会
軍用電腦科技趨勢與產業應用 全科科技 陳以昌.
鼻炎 症狀: 鼻(眼睛)內發癢或不舒服、 打噴嚏、 流鼻涕(水)、 鼻塞………等 。 鼻子內的任何發炎。
山东建筑大学 国家级虚拟仿真实验教学中心 (建筑工程及装备) 申报及建设情况汇报
模块七 房地产营销渠道策略 主要内容 房地产营销渠道类型 房地产营销渠道选择方法 开发商与代理商的合作模式.
遣詞造句知多少? 中文系 王偉勇教授 兼通識教育中心中心主任.
用“自言自语法”提高学生 英语口头表达能力 李奉栖.
(4)理论体系与实训模块 必须衔接、融合 本课程把理论教学体系与实训模块结构连接成一个完整的高职课程体系。
最有利標及評選優勝廠商 講師 劉金龍 經歷:臺中市政府發包科科長.
三、市场营销学研究的基本方法 (1)产品研究法。是以物为中心的研究方法,即在产品分类的基础上,对各类产品市场分别进行研究。 (2)机构研究法。是以研究市场营销制度为出发点,体现以人为中心的研究方法,即集中对整个市场营销系统中的各特定机构的性质和功能进行研究。 (3)职能研究法。是以研究产品从生产者到消费者手中所进行的各种营销活动过程中,市场营销组织所发挥的功能的方法。
淡江大學 航空太空工程學系 工程科技教育 國際認證 期中審查報告簡報
青春期 要長大囉! 男女有別 生命的誕生~兩性結合才有下一代的新生命 為什麼會有月經? 經痛怎麼辦 ? 渡過快樂青春喜歡自己
親愛的吉姆舅舅:   今天吃完晚餐後,奶奶說,在家裡情況變好以前,您要我搬到城裡跟您住。奶奶有沒有跟您說,爸爸已經好久沒有工作,也好久沒有人請媽媽做衣服了?   我們聽完都哭了,連爸爸也哭了,但是媽媽說了一個故事讓我們又笑了。她說:您們小的時候,她曾經被您追得爬到樹上去,真的嗎?   雖然我個子小,但是我很強壯,只要我會做的我都可以幫忙,但是,奶奶說,做其他事情以前,要先把功課做完。
网络的利与弊 2017/3/19 该课件由【语文公社】
第五课 小设计师.
最有利標及評選優勝廠商 講師 劉金龍 經歷:臺中市政府發包科科長.
當 家 新 鮮 事.
兒童及少年福利服務 講師:張智昇.
好好國際物流股份有限公司 全球運籌物流服務建議 中 華 貨 物 通 關 自 動 化 協 會 理 事 長 劉 陽 柳 二○○二年五月十五日
DDS原理与应用 通信工程2002级 李忠琦.
中國美術史報告-我最喜歡的一幅畫 班級:2年2班 姓名:郭馥甄 座號:23.
水煮FPGA 传统FPGA设计流程简介.
高鐵炫風 製作人林淑蘭老師.
行政院勞工委員會勞工保險局 勞退舊制與新制分析說明 高雄市政府人事處 99年2月1日.
2007/5/23初訪螢光蕈 (等了兩年).
Chapter 06 Design Implementation Part I
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
102年度新北市立三重商工旗艦計畫實施方案 先進車用電子控制技術培育計畫
Chapter 5 Verilog硬體描述語言
FIFO 与 UPP 本节我们结合UPP接口给大家介绍一下FIFO在实际工程中的使用。 广州创龙电子科技有限公司 01 51ele.net
理想運算放大器是一種差動放大器,他的 Ad→,Ac →0,Ro=0,Rin+= Rin- → 
浅谈基于FPGA的电路设计 报告人:吴爱平 2005/11/13
EDA技术实用教程 第1章 概 述.
开始 结束.
EDA原理及应用 何宾
EDA原理及应用 何宾
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
第6章 FPGA的下载配置电路设计.
Arduino.
EDA 原理及应用 何宾
Danny Mok Altera HK FAE AHDL培训教材 Danny Mok Altera HK FAE 2018/12/9 P.1.
EDA 原理及应用 何宾
第14章 其它DSP设计库 14.1 总线控制库 14.2 复数信号库 14.3 Gates库 14.4 状态机函数库
使用VHDL設計--Moore Machine
现代电子系统设计 第十讲 实验五:数字下变频器 的设计实现 龚玉萍 讲师 无线通信系通信对抗教研室 理工大学通信工程学院.
通信工程专业英语 Lesson 13 Phase-Locked Loops 第13课 锁相环
设计示例一 用门级结构描述D触发器:.
高精度延时发生器在 Xilinx 7 Series FPGA 中的实现
股票代號 年上半年法人說明會簡報 (合併後第一次) 用心 始終是龍巖.
「數碼革命」(Digital revolution)
高雄區12年國教入學方式 報告人:高雄市政府教育局 局長 鄭新輝.
FPGA组合逻辑 王安然.
Progress Report Yuan-Hsin Liao 10/22/2014.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

7.5 时钟设计

随着FPGA器件规模的不断增大,时钟时延和相位偏移等已经成为影响FPGA设计的关键因素。正确的时钟设计和使用至关重要。下面以Xilinx公司的产品为例介绍时钟设计与使用的一些技巧。

7.5.1数字延迟锁相环(DLL)应用设计 在 Virtex-E、Spartan-Ⅱ和 Spartan-ⅡE系列器件中,Xilinx公司采用数字延迟锁相环(DLL,Delay Locked Loop)技术进行FPGA内部的时钟控制。通过使用 FPGA内部的 DLL,可以消除时钟相位偏移、变换时钟频率(倍频或分频)和调整时钟输出相位。DLL基本原理见2.1.2节

1. 标准的CLKDLL符号 图7.5.1 标准的CLKDLL符号

图中: (1)CLKIN :源时钟输入(Source Clock Input),DLL的输入时钟信号。 (2)CLKFB:反馈时钟输入(Feedback Clock Input),DLL的时钟反馈信号。 (3)RST:复位输入(Reset Input),DLL初始化控制信号。 (4)CLK0/CLK90/CLK180/CLK270:CLKIN相移0°/90°/180°/270°的输出信号, DLL输出的时钟信号。

(5)CLK2X:CLKIN的2倍频时钟信号(2x Clock Output), DLL输出的时钟信号。在CLKDLLHF模式时,该输出时钟信号无效。 (6)CLKDV :CLKIN的分频时钟信号(Clock Divide Output),DLL输出的时钟信号。分频系数为1.5、2、2.5、3、4、5、8和16。 (7)LOCKED : DLL锁定输入时钟信号的锁定输出信号(Locked Output),DLL的状态信号。

在Spartan-Ⅱ系列器件中,每个DLL可以驱动两个全局时钟网络,通过全局时钟网络可以消除输入时钟的相位偏移。DLL除了具有消除时钟相位偏移的功能外,还具有倍频、分频和移相的功能。另外,DLL还可以实现时钟镜像(Clock Mirror),即通过DLL的片外输出和反馈输入,消除多芯片之间的板级时钟偏移。

2. DLL设计时需要注意的问题 在 Spartan-Ⅱ系列器件中,为保证 DLL正常工作,需要注意以下几点: (1)DLL输入时钟:DLL的输入时钟信号应满足器件数据手册上的相关要求。在低频情况下,输入时钟抖动应小于300ps,高频时应小于150ps。在输入时钟锁定后,应避免输入时钟的大幅度变化。

(2)DLL输出时钟:DLL的输出时钟可以驱动OBUF、BUFG或目标逻辑单元的时钟输入端。在LOCKED变为有效前,DLL的输出时钟信号无效。 (1)DUTY_CYCLE_CORRECTION 设为 TRUE时,CLK0、CLK90、CLK180和 CLK270将输出占空比为50%的时钟信号。设为FALSE时,CLK0、CLK90、CLK180和CLK270的输出时钟信号将保持与输入时钟信号相同的占空比。默认值为TRUE。

(2)CLKDV_DIVIDE决定分频系数,默认值为2,可设定值为1.5、2、2.5、3、4、5、8和16。 (3)STARTUP_WAIT设置 TRUE时,配置过程将等待DLL锁定后完成。默认值为FALSE。 (4)LOC 指定DLL的位置编号,编号为0、1、2、3。DLL在器件中的位置如图7.5.2所示。

图7.5.2 DLL在器件中的位置

3. DLL的应用设计例 DLL的一些应用设计例如图7.5.3~图7.5.5所示。其中,图7.5.3为标准的DLL应用电路。图7.5.4为DLL无时钟偏移和2倍频输出电路。图7.5.5为DLL 4倍频输出电路。 图7.5.3 标准的DLL应用电路

图7.5.4 DLL无时钟偏移和2倍频输出电路

图7.5.5 DLL 4倍频输出电路

7.5.2 全局时钟网络(Global Clock Networks)应用设计 在Xilinx的Virtex-Ⅱ和 Virtex-Ⅱ Pro等系列产品中,全局时钟网络(Global Clock Networks)是一种全局布线资源,它可以保证时钟信号到达各个目标逻辑单元的时延基本相同。不同类型的器件,全局时钟网络在数量、性能等方面略有差异。下面以Virtex-Ⅱ系列器件为例介绍全局时钟网络的特性和用法。

在Virtex-Ⅱ系列器件中的全局时钟网络分布如图7. 5 在Virtex-Ⅱ系列器件中的全局时钟网络分布如图7.5.6所示,共含有16个全局时钟网络。 Virtex-Ⅱ系列器件中的全局时钟网络不仅可以提供全局时钟信号的最小时延,还可以实现全局时钟信号的控制输出和选择输出。

图7.5.6 Virtex-Ⅱ系列器件全局时钟网络分布示意图

7.5.2 全局时钟网络(Global Clock Networks)应用设计

图7.5.7 Virtex-Ⅱ系列器件全局时钟网络应用电路图

在Virtex-Ⅱ系列器件中,全局时钟网络与时钟信号的连接方法,如图7. 5. 7所示。在图7. 5 在Virtex-Ⅱ系列器件中,全局时钟网络与时钟信号的连接方法,如图7.5.7所示。在图7.5.7(a)中,全局时钟信号(GCLK)通过时钟输入(Clock Input)引脚端(PAD)输入,经过输入缓冲器IBUFG和内部缓冲器BUFG到达时钟分布网络(Clock Distribution)。在图7.5.7(b)中,差分全局时钟信号(GCLKS 和GCLKP)通过差分时钟输入端(Differential Clock Input)输入,经过输入缓冲器IBUFG和内部缓冲器BUFG到达时钟分布网络(Clock Distribution)。在图7.5.7(c)中,全局时钟信号(GCLK)通过时钟输入(Clock Input)引脚端(PAD)输入,经过输入缓冲器IBUFG、DCM(数字时钟管理器,Digital Clock Manager)和内部缓冲器BUFG到达时钟分布网络(Clock Distribution)。

7.5.3 数字时钟管理器(DCM)应用设计 如图7.5.8所示,FPGA器件内部逻辑电路时钟也可以通过内部缓冲器BUFG或者DCM(数字时钟管理器,Digital Clock Manager)到达时钟分布网络(Clock Distribution)。 Xilinx公司提供了全局时钟网络VHDL和 Verilog应用程序编程模板,可以通过编程控制全局时钟信号的工作方式。

7.5.2 全局时钟网络(Global Clock Networks)应用设计 图7.5.8 FPGA器件内部逻辑电路时钟到达时钟分布网络

7.5.3 数字时钟管理器(DCM)应用设计 Xilinx公司在Virtex-Ⅱ和 Virtex-Ⅱ Pro等系列产品中采用 DCM(数字时钟管理器,Digital Clock Manager).在时钟控制和管理方面,DCM 比DLL功能更强大、使用更灵活。DCM的主要功能包括消除时钟时延、频率合成和时钟相位调整。DCM可以工作在高频或低频模式,主要参数有:输入时钟频率范围、输出时钟频率范围、输入时钟允许抖动范围,输出时钟抖动范围等。

在 Virtex-Ⅱ和 Virtex-Ⅱ Pro等系列产品中,DCM的设计和使用方法基本相同,下面以Virtex-Ⅱ系列器件中的DCM为例,介绍DCM的设计和使用。Virtex-Ⅱ系列器件型号不同,具有4-12个DCM。Virtex-Ⅱ的DCM结构示意图如图2.1.17所示。在图2.1.17所示DCM中的端口信号(Port Signals):

(l)CLKIN :源时钟信号输入(Source Clock Input — CLKIN),DCM的输入时钟信号,来自IBUFG、IBUF或BUFGMUX。 (2)CLKFB:反馈时钟输入信号(Feedback Clock Input — CLKFB),DCM的时钟反馈信号, CLK0或 CLK2X DCM输出通过IBUFG、IBUF或 BUFGMUX反馈到CLKFB引脚端。 (3)RST:复位输入信号(Reset Input — RST),DCM的控制信号,高电平有效。

(4)PSINCDEC :相移增量/减量控制信号(Phase Shift Increment/Decrement - PSINCDEC),DCM的控制信号,控制输出时钟的相位动态调整方向。 (5)PSEN:相移使能信号(Phase Shift Enable - PSEN),DCM控制信号,输出时钟相位动态调整的使能信号。 (6)PSCLK:相移时钟信号(Phase Shift Clock - PSCLK),DCM参考时钟信号,输出时钟相位动态调整的参考时钟。

(7)CLK0/CLK90/CLK180/CLK270: CLKIN相移0°/90°/180°/270°的输出信号, DCM输出的时钟信号。 (8)CLK2X:2倍频时钟输出信号(2x Clock Output — CLK2X),DCM的输出时钟信号,是CLKIN的2倍频时钟信号。 (9)CLK2X180 :与CLK2X相位差180°的DCM输出时钟信号。

(10)CLKDV:CLKIN的时钟分频输出信号(Clock Divide Output — CLKDV),DCM的输出时钟信号。分频系数由CLKDV-DIVIDE设定。 (11)CLKFX:频率合成时钟输出信号(Frequency Synthesized Clock Output - CLKFX) ,DCM的输出时钟信号,是CLKIN经过频率合成后的时钟信号。 (12)CLKFX180:频率合成时钟相移180°的输出信号(Frequency Synthesized Clock Output 180° Phase Shifted - CLKFX180),该时钟信号与CLKFX有180°的相位差。

(13)LOCKED:DCM锁定输出信号(Locked Output — LOCKED),DCM状态信号,显示DCM是否锁定CLKIN。LOCKED为高电平时,DCM的输出时钟信号有效。 (14)STATUS :状态信号(Status - STATUS),DCM状态信号,8位,用于显示DCM的工作状态。 (15)PSDONE:相移完成信号(Phase Shift DONE - PSDONE),DCM的状态信号,用于显示输出时钟相位动态调整是否正常。

在 Virtexll系列器件中,DCM主要有如下工作模式: ①BUFG_CLK0_SUBM ②BUFG_CLK2X_SUBM ③BUFG_CLK0_FB_SUBM ④ BUFG_CLK2X_FB_SUBM ⑤BUFG_CLKDV_SUBM ⑥BUFG_DFS_SUBM

⑦BUFG_DFS_FB_SUBM ⑧BUFG_PHASE_CLKFX_FB_SUBM ⑨ BUFG_PHASE_CLK0_SUBM ⑩ BUFG_PHASE_CLK2X_SUBM ⑾ BUFG_PHASE_CLKDV_SUBM Xilinx公司提供了DCM VHDL和 Verilog应用程序编程模板,可以通过编程控制DCM的工作模式。

例如: BUFG_CLK0_FB_SUBM 工作模式电路如图7. 5. 9所示。BUFG_DFS_FB_SUBM工作模式电路如图7. 5

图7.5.10 BUFG_DFS_FB_SUBM工作模式电路