陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日

Slides:



Advertisements
Similar presentations
完美殺人筆記簿 【爸!我受夠了!】 第七組組員: 林正敏 陳筱涵 李蓓宇 許純宜 羅玉芬 謝文軒.
Advertisements

遥远而神秘的大陆 —— 非洲, 有着悠久的历史,辽阔的地域、 奇特的风景和古朴的民俗;更 有那极具感染力、热情奔放的 音乐和舞蹈。 让我们一起走进非洲,去 聆听、感受和体验那具有独 特魅力的非洲歌舞音乐! 非洲正以其独特的、近乎原汁原味的风光和文化吸 引着全世界的目光, 也吸引了你我的目光。
XX啤酒营销及广告策略.
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
建構 Beta電腦 – Fall /29/0.
Word高级应用——制作毕业论文 Word高级应用——制作毕业论文 6..
计算机组成原理 北京理工大学计算机科学工程系 赵清杰 北京理工大学计算机科学工程系.
网络条件下老干部工作信息的应用与写作 齐齐哈尔市委老干部局 山佐利.
咨询师的个人成长 第一课:如何撰写个人成长报告以及答辩.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
Principles of Microcomputers
最新計算機概論 第3章 計算機組織.
电话联系.
迎宾员礼仪 包头机电工业职业学校管理系 白琳 1.
第4章 VHDL设计初步.
上海市绩效评价培训 数据分析与报告撰写 赵宏斌 上海财经大学副教授
大连理工大学软件学院 软件工程系 赖晓晨 计算机组成与结构 大连理工大学软件学院 软件工程系 赖晓晨
财 务 会 计 第四篇:供应链会计实务 制作人:谌君、熊瑜.
北师大版七年级数学 5.5 应用一元一次方程 ——“希望工程”义演 枣庄市第三十四中学 曹馨.
海洋存亡 匹夫有责 ——让我们都来做环保小卫士 XX小学三(3)班.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
微处理器设计1 刘鹏 College of ISEE Zhejiang University
VHDL數位電路實習與專題設計 文魁資訊-UE301
第4章 处理器(CPU) 4.1 引言 4.2 逻辑设计的一般方法 4.3 建立数据通路 4.4 一个简单的实现机制 4.5 多周期实现机制.
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
Chapter 5 Verilog硬體描述語言
指令集架構 計算機也跟人類一樣,需要提供一套完整的語言讓人們跟它充分溝通,以完成正確的計算工作。
1-1 微電腦系統單元 1-2 微電腦系統架構 1-3 微控制器(單晶片微電腦) 1-4 類比與數位訊號介面
1-1 微電腦系統單元 1-2 微電腦系統架構 1-3 微控制器(單晶片微電腦) 1-4 類比與數位訊號介面
5 Computer Organization (計算機組織).
The Processor: Datapath and Control
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
微程序控制器 刘鹏 Dept. ISEE Zhejiang University
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
計算機結構 – 概論 陳鍾誠 於金門大學.
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
微处理器设计2 刘鹏 College of ISEE Zhejiang University
一个非常简单的CPU的设计 1、组合逻辑控制器 2、微程序控制器.
第14章 其它DSP设计库 14.1 总线控制库 14.2 复数信号库 14.3 Gates库 14.4 状态机函数库
计算机原理及系统结构 第十八讲 主讲教师:赵宏伟                 学时:64.
精简指令集(RISC)CPU的构造原理和设计方法
第 2 章 数字逻辑电路基础 和计算机中的逻辑部件
数字系统设计 Digital System Design
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
第九章 目标代码生成.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
The Processor: Datapath and Control (Multi-cycle implementation)
微机原理与接口技术 西安邮电大学计算机学院 王忠民.
2019/4/29 计算机组成原理 辅导教师:陆明强.
主标题 副标题 日期.
機器語言, 組合語言, 與編譯器 參考: β 文件; 實驗 #5B; C 語言講議 當我在我的程式碼中發現一堆 麻煩時, 朋友和同事跟我說了
數位邏輯設計 VHDL.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月1日
汽车单片机应用技术 学习情景1: 汽车空调系统的单片机控制 主讲:向楠.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
第3章 CPU子系统 西南石油大学计算机科学学院 主讲教师 杨 梅 联系电话:
第七章 基本逻辑电路设计.
第5章 中 央 处 理 器 5.1 CPU的功能和组成 5.2 指令周期 5.3 时序产生器和控制方式 5.4 微程序控制器
Presentation transcript:

陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日 A2-Circuit Synthesis via GRAFCET GRAFCET電路合成 陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日

Microprogram Controller Microprograms Controller(微程式控制器)is control unit is responsible for coordinating actions within the CPU. The control unit decodes the instruction and issue a set of control signals that result in the instruction’s execution. These control signals cause data to be routed correctly within the CPU, generate correct external control signals, such as READ and WRITE, and cause the ALU to perform the correct operation on the data.

1-bit 微程式控制器架構 算數邏輯運算模組(ALU) 程式記憶體模組 (ROM) 多工器模組(Multiplexer) 累加暫存器模組(Accumulater) 資料暫存器(RAM) 程式計數器(PC) 指令解碼器(Decoder) 微程式(Micrprogram)

ALU

程式記憶體模組(ROM) 用以存放程式的記憶體電路。例如一個邏輯函數 F = a.b + c,可寫成三行程式指令,分別存放在3個bit-vector的記憶體: bit-vector# Operator Operand 功能說明 1 LD a 載入a(至ALU的累加暫存器) 2 ANDC b 將暫存器值 與作AND 3 OR c 將累加暫存器值與c作OR

多工器 (Multiplexer) 上述範例中,每一行程式指令必須提取一個operand,這個operand是眾多系統輸入變數中的其中一個,使用多工器,透過address可選取任意變數作為ALU的B端輸入

累加暫存器 (Accumulater) 累加暫存器是一個1-bit記憶體,用來儲存上一個程式指令的運算結果。

1-Bit 微程式 處理器架構

資料暫存器(RAM) 為何需要1-bit RAM?

程式計數器 (PC) 提供要讀取的程式 記憶體的位址(一個 word一個word依 序讀取)

指令解碼器(Decoder) 為了控制1-Bit暫存記憶體ST送至MUX作為一個輸入變數,故需一個指令解碼器(instruction decoder)。

無條件跳躍(Non-conditional Jump) : JMP

有條件的跳躍(conditional Jump) : JMPA

跳躍指令的微程式應用

使用MIAT方法論設計微程式控制器 Instruction Set Design GRAFCET Discrete-Event Modeling High-Level Synthesis GRAFCET Controller Synthesis Datapath Synthesis System Integration

指令集設計 F3 f2 f1 f0 指令 Operand 功能說明 LD (addr) 從RAM的addr位址載入資料到ACC 1 AND LD (addr) 從RAM的addr位址載入資料到ACC 1 AND 從RAM的addr位址載入資料與ACC做AND運算,結果放在ACC OR 從RAM的addr位址載入資料與ACC做OR運算,結果放在ACC ANDC 從RAM的addr位址載入資料,將之反相並與ACC做AND運算,結果放在ACC STORE 將ACC資料存放 JMP [addr] 程式無條件跳躍(改變程式計數器(PC)值為addr) JMPA 如果ACC為1,程式跳躍(改變程式計數器(PC)值為addr) STOP [0] 結束

GRAFCET離散事件建模

Grafcet系統架構

Grafcet模組合成 grafcet:PROCESS(CLK,RST) BEGIN IF RST='1' THEN X0<=‘1’;X1<=‘0’;X2<=‘0’;X3<=‘0’; X4<=‘0’;X5<=‘0’;X6<=‘0’;X7<=‘0’;X8<=‘0’;X9<=‘0’;X10<=‘0’; ELSIF CLK'EVENT AND CLK='1' THEN IF X0='1' THEN X0<='0'; X1<='1'; ELSIF X1='1' THEN IF OP="000" THEN X1<='0'; X2<='1'; ELSIF OP="001" THEN X1<='0'; X3<='1'; ELSIF OP="010" THEN X1<='0'; X4<='1'; ELSIF OP="011" THEN X1<='0'; X5<='1'; ELSIF OP="100" THEN X1<='0'; X6<='1'; ELSIF OP="101" THEN X1<='0'; X7<='1'; ELSIF OP="110" THEN X1<='0'; X8<='1'; ELSIF OP="111" THEN X1<='0'; X9<='1'; END IF; ELSIF X2='1' THEN X2<='0';X10<='1'; ELSIF X3='1' THEN X3<='0';X10<='1'; ELSIF X4='1' THEN X4<='0';X10<='1'; ELSIF X5='1' THEN X5<='0';X10<='1'; ELSIF X6='1' THEN X6<='0';X1<='1'; ELSIF X7='1' THEN X7<='0';X1<='1'; ELSIF X8='1' THEN X8<='0';X1<='1'; ELSIF X9='1' THEN X9<='0';X0<='1'; ELSIF X10='1' THEN X10<='0';X1<='1'; END PROCESS grafcet;

Datapath模組合成 datapath:PROCESS(CLK,RST) BEGIN IF X0='1' THEN ACC<='0';PC<=0;RAM<="10000001"; ELSIF X1='1' THEN OP<="000";ADDR<=0; ELSIF X2='1' THEN ACC<=RAM(ADDR); ELSIF X3='1' THEN ACC<=ACC AND RAM(ADDR); ELSIF X4='1' THEN ACC<=ACC OR RAM(ADDR); ELSIF X5='1' THEN ACC<=NOT(RAM(ADDR)); ELSIF X6='1' THEN RAM(ADDR)<=ACC; ELSIF X7='1' THEN PC<=ADDR; ELSIF X8='1' THEN IF ACC='1' THEN PC<=ADDR; END IF; ELSIF X9='1' THEN PC<=0; ELSIF X10='1' THEN PC<=PC+1; END IF; END PROCESS datapath; OUTPUT<=ACC; END arch;

系統架構整合 architecture arch of microp2 is SIGNAL X0,X1,X2,X3,X4,X5,X6,X7,X8,X9,X10:STD_LOGIC; SIGNAL ACC : STD_LOGIC; SIGNAL RAM : STD_LOGIC_VECTOR(7 downto 0); SIGNAL PC : INTEGER RANGE 0 TO 15; SIGNAL ADDR : INTEGER RANGE 0 TO 7; SIGNAL OP : STD_LOGIC_VECTOR(2 downto 0); BEGIN grafcet:PROCESS(CLK,RST)... datapath:PROCESS(CLK,RST)... OUTPUT<=ACC; END arch;

練習 請參考1-bit微程式控制器,設計一個8-bit CPU,具有12個指令,除了原有微程式控制器8個指令外,另外設計加(ADD)、減(SUB)、遞增(INC)、遞減(DEC)等指令。