EDA技术综合设计 电工电子实验教学中心.

Slides:



Advertisements
Similar presentations
实验 D 触发器及 JK 触发器 一、实验目的实验目的 二、实验仪器设备实验仪器设备 三、实验原理实验原理 四、实验电路实验电路 五、实验内容及步骤实验内容及步骤 六、实验注意事项实验注意事项 七、实验报告要求.
Advertisements

数字逻辑设计实验 2011 春季学期.
实验四 利用中规模芯片设计时序电路(二).
5.4 顺序脉冲发生器、 三态逻辑和微机总线接口 顺序脉冲发生器 顺序脉冲 计数型 分类 移位型.
第10章 FPGA硬件设计 <EDA技术与应用> 课程讲义
第三章 组合逻辑电路 3.1 组合逻辑电路的特点和任务 3.2 组合逻辑电路的分析和设计 3.3 常用组合逻辑电路 第3章 翻页 上页 下页
数字频率计综合设计 陈学英 1.
现代电子技术实验 4.11 RC带通滤波器的设计与测试.
微机原理与接口技术 微机原理与接口技术 朱华贵 2015年11月20日.
 与非门参数测试与组合逻辑电路设计  集成触发器  计数、译码、显示电路
译码器及其应用 知识回顾 模拟信号与数字信号 电子电路中的信号 模拟信号 数字信号 幅度随时间连续变化 的信号
基于Arduino单片机的简易示波器 Arduino Based Simple Oscilloscope
S 数控机床故障诊断与维修.
3.3.3 显示译码器 返回   在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果,另一方面用于监视数字系统的工作情况。   数字显示电路是数字设备不可缺少的部分。数字显示电路通常由显示译码器、驱动器和显示器等部分组成,如图3-12所示。 2019/1/2.
课程设计.
实验四 组合逻辑电路的设计与测试 一.实验目的 1.掌握组合逻辑电路的设计 方法 2.学会对组合逻辑电路的测 试方法.
大作业要求 1、按照选题要求设计电路,并仿真、下载,实现电路。 2、撰写综合报告.
利用Arduino制作定向装置 核科学与技术系 崔伟毅 梁嘉祺
K60入门课程 12 首都师范大学物理系 靳熙芃.
数 字 逻 辑 第十七讲 电路设计项目二 计算机与通信工程系 1.
时序逻辑电路实验 一、 实验目的 1.熟悉集成计数器的功能和使用方法; 2.利用集成计数器设计任意进制计数器。 二、实验原理
实验七 电子秒表-1.
实验七 计数器及其应用 一.实验目的 1.掌握中规模集成计数器的使用方法和功能测试方法 2. 运用集成计数器构成任意模值计数器
实验六 积分器、微分器.
CPU结构和功能.
14.2 时序逻辑电路的分析 概述 时序逻辑电路是由存储电路和组合逻辑电路共同组成的,它的输出状态不仅与输入有关,还与电路的过去状态有关,即具有存储功能。 输入信号 输出信号 输出方程 驱动方程 描述时序逻辑电路的三个方程 状态方程 存储电路的输入信号 时序逻辑电路构成框图 存储电路的输出信号.
宁波市高校慕课联盟课程 与 进行交互 Linux 系统管理.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
SOA – Experiment 2: Query Classification Web Service
K60入门课程 13 首都师范大学物理系 王甜.
第四章 MCS-51定时器/计数器 一、定时器结构 1.定时器结构框图
物理 九年级(下册) 新课标(RJ).
顺序表的删除.
K60入门课程 02 首都师范大学物理系 王甜.
第4章 Excel电子表格制作软件 4.4 函数(一).
组合逻辑电路 ——中规模组合逻辑集成电路.
实验三 16位算术逻辑运算实验 不带进位控制的算术运算 置AR=1: 设置开关CN 1 不带进位 0 带进位运算;
iSIGHT 基本培训 使用 Excel的栅栏问题
实验六 触发器逻辑功能测试 一、实验目的 二、实验仪器 1、熟悉并掌握RS、D、JK触发器的构成、工作原理和 功能测试方法。
长春理工大学 电工电子实验教学中心 数字电路实验 数字电路实验室.
实验二 带进位控制8位算术逻辑运算实验 带进位控制8位算术逻辑运算: ① 带进位运算 ② 保存运算后产生进位
MAX——PLUSⅡ 图形化程序设计 ——数字电子钟的设计 (二十四小时六十分钟六十秒)
实验五 MSI组合逻辑功 能部件的应用与测试
LOGIX500软件入门 西安华光信息技术有限公司 2008年7月11日.
数字时钟设计及实现 何宾
魏新宇 MATLAB/Simulink 与控制系统仿真 魏新宇
HSC高速输出例程 HORNER APG.
实验五 数据选择和译码显示 -1.
现代电子技术综合实验 陈学英.
调幅与检波的研究 实验目的 实验原理 实验内容 注意事项.
Haohaodada-Nano编程 数码管中数的闪烁 舟山市定海区教师进修学校 张秋明.
工业机器人知识要点解析 (ABB机器人) 主讲人:王老师
实验一 单级放大电路 一、 实验内容 1. 熟悉电子元件及实验箱 2. 掌握放大器静态工作点模拟电路调试方法及对放大器性能的影响
实验目的:掌握数据的顺序存储结构及它们在计算机中的操作。 实验内容:
实验二 基尔霍夫定律 510实验室 韩春玲.
现代电子技术实验 同步计数器及其应用研究 实验目的 实验原理 实验内容 注意事项.
回归分析实验课程 (实验三) 多项式回归和定性变量的处理.
基于列存储的RDF数据管理 朱敏
信号发生电路 -非正弦波发生电路.
第八章 常用组合逻辑器件及应用 8.1 编码器 把二进制码按一定规律编排,使每组代码具有一特定的含义,称为编码。
电工电子技术实验 电工电子教学部.
第二篇 实验部分 1.【实验目的】 EDA技术实验的目的是为了进一步巩固EDA技术课程的基本理论,深化对所学课程理论知识的理解,使学生了解和掌握EDA技术中 CPLD/FPGA的体系结构、工作原理、功能和特点;掌握电子线路硬件描述语言(VHDL);初步具备利用CPLD/FPGA芯片设计、开发、调试电子系统的能力;掌握和使用Quartus.
上节复习(11.7) 1、定时/计数器的基本原理? 2、定时/计数器的结构组成? 3、定时/计数器的控制关系?
B12 竺越
工业机器人入门使用教程 ESTUN机器人 主讲人:李老师
培训课件 AB 变频器的接线、操作及参数的备份 设备动力科.
混沌保密通讯 实验人 郝洪辰( ) 李 鑫( ).
DSP技术与应用 电子与信息技术系.
四路视频编码器 快速安装手册 1、接口说明 2、安装连接 3、软件下载 4、注意事项 编码器软件下载地址
第八章 第二部分 PLC控制组态软件 综合仿真实验
Presentation transcript:

EDA技术综合设计 电工电子实验教学中心

一、EDA-V型实验系统介绍 1、系统整体结构图 2、将要用到的主要模块 8位七段数码管显示模块; 16×16点阵模块; CPLD/FPGA适配器接口; 12位按键输入模块; 18位拨码开关输入模块; 蜂鸣器输出模块; 可调数字信号源; 8×2LED灯。

实验系统布局图

返回

8位七段数码管显示模块: 数码管为共阴数码管。本模块的输入口共有11个,其中8个段信号输入口,分别为A、B、C、D、E、F、G、DP;3个位信号输入口,分别为SEL0、 SEL1、 SEL2。其中SEL0、 SEL1、 SEL2位于16×16点阵模块区,它们经3-8译码器后送给数码管作位选信号,最左边为第一位,对应关系如下表: 接口序号 数码管状态 SEL2 SEL1 SEL0 1 第1位亮 第2位亮 第3位亮 第4位亮 第5位亮 第6位亮 第7位亮 第8位亮

返回

列选信号为SEL0~SEL3经4-16线译码器后给出,最右边为第一列;行选信号为L0~L15,最上方为第一行。 点亮列号 1 第1列 第2列 第3列 第4列 第5列 第6列 第7列 第8列 第9列 第10列 第11列 第12列 第13列 第14列 第15列 第16列 16×16点阵模块; 列选信号为SEL0~SEL3经4-16线译码器后给出,最右边为第一列;行选信号为L0~L15,最上方为第一行。

返回

CPLD/FPGA适配器接口: 下载该芯片时将芯片选择开关拨向CPLD。 12位按键输入模块 开关弹起时为高电平,按下时为低电平。输出口最左边对应开关K1。 18位拨码开关输入模块: 开关拨向下时为低电平,拨向上时为高电平。输出口最左边对应开关D17,最右边对应开关D0。 蜂鸣器输出模块; 当输入口BELL_IN输入高电平时,蜂鸣器响。

返回

可调数字信号源: 时钟信号源可产生从1.2Hz~20MHz之间的任意频率。该电路采用全数字化设计,提供的最高方波频率为20MHz,最低频率为1.2Hz,并且频率可以在这个范围内随意组合变化。整个信号源共有6个输出口(CLK0~CLK5),每个输出口输出的频率各不相同,通过JP1~JP11这11组跳线来完成设置。具体设置方案见实验指导书。 返回

二、总结报告与注意事项 1、实验注意事项 严禁带电插拔“JTAG”下载电缆!为了安全地使用下载电缆,防止损坏下载电缆中的器件和计算机主板的并口,应在计算机及实验箱均断电的情况下,插入或拔出下载电缆。 插入下载电缆的步骤: 确认完全断电——下载电缆并口与计算机并口相连——下载电缆JTAG口与实验箱的JTAG口相连——接通实验箱电源——接通计算机电源; 拔出下载电缆的步骤: 关闭实验箱电源——拔下JTAG电缆插头——实验箱内部连线——接通实验箱电源——进行功能验证。

测试完毕,先断掉EDA实验箱的电源,再把JTAG电缆的小插头插入实验箱的JTAG插座,然后接通实验箱电源,准备下一次的设计下载。 2、总结报告的书写要求 见老师下发模板。 内容:总结报告应至少包括以下内容: 封面;前言;目录;任务书(合作人、分工方案); 正文;(设计要求、实验目的、实验方案、实验原理、硬件要求、实验步骤、源程序(*.vhd)和原理图(*bdf)仿真调试和下载结果、硬件测试报告、数据处理及分析结果等等)。 收获和体会;对设计工作的总结与展望;参考文献。

要求: 1、内容完整,主题突出,详略得当,语言流畅; 2、书写格式规范,条理清晰,图文结合,手写本应字迹清楚、工整。 3、必须独立完成,不允许大段抄写参考资料中的内容,作同一设计的同学,报告不允许雷同。 4、对程序文本的书写和电路图以及示意图的作图要规范、美观。

EDA综合课程设计(一) —计数器及数码显示综合设计 一、设计任务 1、设计一个同步时钟使能及同步清0的增1十二进制计数器; 2、设计一个具有同步时钟使能、同步清零的六十进制可逆计数器; 3、设计一个共阴7段数码管控制接口,要求:在时钟信号的控制下,使3或4位数码管动态刷新显示上述计数器的计数结果。

三、实验连线 计数器的输入时钟信号接时钟电路的相应输出(CLK0~CLK5),复位信号接拨码开关或按键,输出信号接七段显示译码器的数据输入端; 将七段显示译码器的clk端接时钟输出,并使输入频率约为5MHZ,led[6..0]分别接显示模块的a--g,SEL[2..0]分别接显示模块的SEL2~SEL0。

sel2 sel1 sel0 显示译码器 CP 计数器1 计数器2 CP2 依题意考虑CP 和CP2关系。

同时,还有一个问题不可忽视,就是位扫描信号的频率至少需要多少以上,才能使显示器不闪烁?简单的说,只要第个扫描频率超过人的眼睛视觉暂留频率24HZ以上就可以达到点亮单个显示,却能享有6个同时显示的视觉效果,而且显示也不闪烁。

EDA综合课程设计(二) ——数字秒表设计 一、设计要求: 秒表的逻辑结构主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。在整个秒表中最关键的是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动。 秒表共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便于和显示译码器的连接。当计时达60分钟后,蜂鸣器报警。

二、模块结构 四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数; 两个6进制计数器:用来分别对十秒和十分进行计数; 分频率器:用来产生100HZ计时脉冲; 显示译码器:完成对显示的控制。 三、硬件要求: 主芯片EPF10K10LC84-4。 6位八段扫描共阴级数码显示管。 二个按键开关(归零,启动)。

四、实验内容及步骤: 1.根据电路持点,用层次设计概念将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口。让几个学生分做和调试其中之一,然后再将各模块合起来联试。以培养学生之间的合作精神,同时加深层次化设计概念。 2.了解软件的元件管理深层含义,以及模块元件之间的连接概念,对于不同目录下的同一设计,如何熔合。 3.适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同,让学生有更深一步了解。熟悉了CPLD设计的调试过程中手段的多样化。 4.按适配划分后的管脚定位,同相关功能块硬件电路接口连线。 5 所有模块全用VHDL语言描述。

数字秒表内部结构图。

五、实验连线: 输入接口: 1.秒表的归零,启动信号RESET、START的管脚分别连接按键开关。 2. 蜂鸣器鸣响信号SPEAKER接蜂鸣器的输入。 3.秒表计数时钟信号CLK的管脚同2.5MHZ时钟源相连。 输出接口: 秒表扫描显示的驱动信号管脚SEL2,SEL1,SEL0和A~G参照设计一中的连法。

EDA综合课程设计(三) ——数字钟设计 一、设计要求(数字钟的功能) 1.具有时,分,秒,计数显示功能,以24小时循环计时。 2.具有清零、调节小时、分钟功能。 3. 具有整点报时功能。

二、实验目的: 1.掌握多位计数器相连的设计方法。 2.掌握十进制、六进制、二十四进制计数器的设计方法。 3.巩固多位共阴极扫描显示数码管的驱动及编码。 4.掌握扬声器的驱动。 5.掌握EDA技术的层次化设计方法。

三、硬件要求: 1.主芯片EPF10K10LC84-4。 2.蜂鸣器。 3.8位八段扫描共阴极数码显示管。 4.三个按键开关(清零,调小时,调分钟)。

四、设计原理: 在同一芯片EPF10K10上集成了如下电路模块: 1.时钟计数:秒——60进制BCD码计数; 分——60进制BCD码计数; 时——24进制BCD码计数; 同时整个计数器有清零,调分,调时功能。在接近整数时间能提供报时信号。 2.具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段字形译码输出。 3.蜂鸣器在整点时有报时驱动信号产生。

五、实验内容及步骤: 1.根据电路持点,用层次设计概念将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口。培养学生之间的合作精神,同时加深层次化设计概念。 2.了解软件的元件管理深层含义及模块元件之间的连接概念,对于不同目录下的同一设计,如何融合。 3.适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同,让学生有更深一步了解。熟悉了CPLD设计的调试过程中手段的多样化。 4.按适配划分后的管脚定位,同相关功能块硬件电路接口连线。

六、模块说明: 各种进制的计数及时钟控制模块(10进制、6进制、24进制); 扫描分时显示、译码模块; 扬声器编码模块; 各模块都用VHDL语言编写。 各功能模块连接示意图如图所示。

数字钟各模块连接示意图

七、实验连线: 输入接口: 1.代表清零、调时、调分信号RESET、SETHOUR、SETMIN的管脚分别连接按键开关。 2.代表计数时钟信号CLK和扫描时钟信号CLKDSP的管脚分别同1HZ时钟源和32HZ(或更高)时钟源相连。 输出接口: 1.代表扫描显示的驱动信号管脚SEL2,SEL1,SEL0和A~G参照设计一中的连法。 2.代表扬声器驱动信号的管脚SPEAK同扬声器驱动接口SPEAKER相连。

EDA综合课程设计(四) ——交通灯控制器设计 一、设计要求: 1、主干道和支干道交替放行,主干道每次放行30秒,支干道每次放行20秒。 2、每次绿灯变红灯时,黄灯先亮5秒钟,此时原红灯不变。 3、用十进制数字(递减计数)显示放行和等待时间。 4、参考实际生活中情况,完善该电路功能。

EDA综合课程设计(五) ——16X16点阵显示综合实验 一、 设计要求   设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,显示一定的字符或图案花样,其中位选信号为16-4编码器编码输出。

16X16点阵控制接口引脚功能 控制器的引脚功能图如上图所示,其中:DIN[3..0]为显示花样模式选择,高电平有效;CLK为时钟输入端;DOTOUT[15..0]为行驱动信号输出;SELOUT[3..0]为列选信号输出,为16-4编码信号。

图案1 实现16X16点阵的16列同时从上往下依次点亮,全亮后16列又同时从下往上依次熄灭。

列选信号:采用与7段数码管的位选信号一样的处理方法,即列扫描信号频率大于24HZ。 行驱动信号:可以采用移位的方法,可先定义一个16位的信号,若最高位置为‘1’,我们采用右移的方法,使每一位都置‘1’,这就实现依次点亮;当第0位也置‘1’后,给第0位置‘0’,再采用左移的方法将每一位又重新置‘0’,这样就实现了反相依次熄灭,等第15位为‘0’时,又重新开始,以此循环。 对于其他的显示花样(比如文字显示),请自行设计。

三、实验连线 将CP端接时钟输出,并使输入频率约为1MHz,DIN[3..0]分别接4位拨码开关,DOTOUT[15..0]分别接显示模块的L15~L0,SELOUT[3..0]分别接显示模块的SEL3~SEL0。

EDA综合课程设计(六) ——彩灯控制器 1、系统设计要求 (1)要有2种花型变化。 (2)2种花型可以自动变换,循环往复。  (1)要有2种花型变化。  (2)2种花型可以自动变换,循环往复。  (3)具有清零开关。  2、用给定IC设计、安装与调试彩灯控制器,具体要求如下: (1) 控制器有四组输出,每组至少能驱动四只LED。 (2)设计用十六只LED组成的彩灯图案。图案的状态变换至少有2种,并且能自动切换。 (3)彩灯图案状态变换的速度至少有快、慢两种。

( 4)安装并调试彩灯控制器 3、具体操作 我们可以使用循环结构可以让一种花色亮一段时间,再进入另一种花色,而这中间的时间控制可以通过制作分频器来控制。安有清零端,当按下按钮,全灭。(难点:如何控制时间使其循环,一种花色亮了一段时间,在进入另一种花色,并且使其也亮相同的时间)。

EDA综合课程设计(七) ——年历日历设计 一、 设计要求  要求能实现年、月、日的计时功能。同时将计时结果通过8个七段数码管显示,并且可通过两个设置键,对计时系统的有关参数进行调整。具体系统功能面板如下图所示:

二、设计原理 根据系统的设计要求,计时电路可分为计日电路、计月电路、计年电路等3个子模块,这3个子模块必须都具有预置、计数和进位功能,设计思想如下: (1) 计日电路:将计时电路产生的进位脉冲信号作为计日电路的计数时钟信号,通过系统辨认,确定本月总天数X(包括28、29、30、31四种情况),待计数至X+1瞬间,进位,计月电路加1,而计日电路返回1重新开始计数。 (2) 计月电路:将计日电路产生的进位脉冲信号作为计月电路的计数时钟信号,待计数至12瞬间,进位,计年电路加1,而计月电路返回1重新开始计数。 (3) 计年电路:将计月电路产生的进位脉冲信号作为计年电路的计数时钟信号,待计数至100瞬间,计年电路返回0重新开始计数。

对于系统中的时间调整电路,拟通过模式和调整两个外部按键完成。模式键负责切换正常时间计数模式和时间调整模式,调整模式切换顺序如图7 对于系统中的时间调整电路,拟通过模式和调整两个外部按键完成。模式键负责切换正常时间计数模式和时间调整模式,调整模式切换顺序如图7.8所示。调整键负责在时间调整模式之下,对当前模式的计时结果进行调整。 调整模式切换顺序

硬件使用: 1、7段数码管 2、主芯片EPF10K10LC84-4 3、拨健开关

EDA综合课程设计(八) ——智力抢答器设计 一、 设计要求   设计一个可容纳四组参赛者的数字智力抢答器,具有第一抢答信号的鉴别和锁存功能。要求实现以下功能: 1. 抢答鉴别模块;抢答计时模块; 抢答计分模块;译码显示模块。 2. 抢答鉴别电路中,可设计成只有四种情况,可简化电路复杂性 3. 计分器电路中,根据设计原则,按一定数进制进行加减 4. 计时器电路中,有计时初始值的预置功能,又有减计数功能

硬件使用: 1、拨健开关 2、7段数码管若干 3、LED(四个) 4、主芯片EPF10K10LC84-4

EDA综合课程设计(九) ——简易数字频率计 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其功能是测量正弦信号,方波信号,尖脉冲信号以及其他各种单位时间内变化的物理量,因此已经成为电路设计的常用原器件之一,有它不可取代的地位。 本课题要设计的是简易数字频率计,使其频率值以十进制的数在数码管上显示出来。从而可以直接的看出频率值,相对比较直观,而且误差相对较小(误差约为1%)。 设计的数字频率计的测量范围是10HZ~9999HZ,显示的数值是0010~9999。 该数字频率计将在频率测量方面显示出它独特的优越性。 1、设计一个简易数字频率计电路,要求: ① 测量信号范围:方波:10~9999Hz; ② 最大读数:9999Hz,用四个数码管显示。 ③ 用已知频率的信号产生闸门信号。

END