第1章 EDA技术概述.

Slides:



Advertisements
Similar presentations
有人说,世界的方向不仅在未来, 还在过去,需要转身才能发现。 — 王春元 中央电大工科机电类专业设置与课程建设的反思 国家开放大学工科机电类课程平台建设的构想 国家装备制造业发展现状与人才培养需求.
Advertisements

SOPC技术 数字逻辑电路 主讲:刘昌华 嵌入式技术研究所
第1章 电子系统设计训练.
连接器技术发展趋势及因应策略 生产&营销副总经理 王跃轩 贵州航天电器股份有限公司.
信息学科特点及“十二五”规划思路 信息科学部  2010年12月3日 厦门.
——Windows98与Office2000(第二版) 林卓然编著 中山大学出版社
1984年,Xilinx公司开发了一种新型的集成电路芯片FPGA。伴随EDA( Electronic Design Automation )技术的发展,FPGA的开发和使用越来越便利。 从理论角度理解EDA,可以认为EDA是以计算机和微电子技术为先导,汇集数据库、计算机图形学、图论与拓扑逻辑、计算数学、优化理论及微电子工艺结构学等学科成果的先进技术。
数字系统设计及VHDL实践 专题二 专用集成电路(ASIC)设计概述 主 讲 人:徐向民 单 位:电子信息学院.
EDA 技术 任课教师: 沈晓峰 联系地点:科技实验大楼12-09室 电 话:
初级会计电算化 (用友T3) 制作人:张爱红.
电气与信息工程学院 学科建设情况汇报
EDA技术与Verilog设计.
实验四 利用中规模芯片设计时序电路(二).
软件企业涉税实务 嘉定区税务局.
第1章 绪论 1.1 EDA技术的涵义 1.2 EDA技术的发展历程 1.3 EDA技术的主要内容 1.4 EDA软件系统的构成
EDA 原理及应用 何宾 E - mail:
第1章 绪 论 1.1 EDA技术的涵义 1.2 EDA技术的发展历程 1.3 EDA技术的主要内容 1.4 EDA软件系统的构成
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月22日星期三.
第10章 FPGA硬件设计 <EDA技术与应用> 课程讲义
1.2 FPGA的设计方法与要求 1.2.1现代数字系统的设计方法
基于解释性语言的手机跨平台架构 Sloan Yi. Qt MTK.
全国计算机等级考试 二级基础知识 第二章 程序设计基础.
计算机基础知识 丁家营镇九年制学校 徐中先.
                                                                                                                                                                
Greatest Common Divisor ---最大公约数
北京移动(中国移动的子公司)是中国主要的无线运营商之一。中国移动做为无线市场的开拓者,拥有中国70%的无线通信市场,也是世界上第二大的无线提供商,北京移动拥有上亿的手机用户,支持60多个国家的漫游业务。 为北京移动创造的价值 … 优秀的性能,支持了庞大的用户群 标准化了系统接口 加强了系统的灵活性.
授课对象:微电子、电子、计算机专业本科生、研究生 先修课:数字逻辑电路、Verilog
數位系統實驗 --課程介紹 教師:潘欣泰.
第1章 概 述.
EDA技术及其应用 第1章 概 述.
“互联网+FPGA” 未来计算实验室 --让FPGA使用更简单、更便利、更便宜 FPGA 在线实验.
EDA技术实用教程 第1章 概 述.
嵌入式系统课程简介 宋健建 南京大学软件学院 2004/02/10.
第二讲 搭建Java Web开发环境 主讲人:孙娜
可编程器件与电子设计自动化 上海交通大学电工及电子技术实验中心 一九九九年三月.
管理信息结构SMI.
第11章:一些著名开源软件介绍 第12章:服务安装和配置 本章教学目标: 了解当前一些应用最广泛的开源软件项目 搭建一个网站服务器
本章小结: 可编程逻辑器件(Programmable Logic Device,简称为 PLD)是目前数字系统设计的主要硬件基础。现场可编程门阵列 FPGA(Field Programmable Gate Array)现场可编程门阵列FPGA在结构上由逻辑功能块排列为阵列,功能由逻辑结构的配置数据决定,配置数据可以存放在片外的EPROM或其他存储体上,人们可以控制加载过程,在现场修改器件的逻辑功能。
课程设计.
大作业要求 1、按照选题要求设计电路,并仿真、下载,实现电路。 2、撰写综合报告.
培训目标及内容 第一部分培训目标: PSoC概念 PSoC结构 PSoC设计流程 IP和设计复用技术 PSoC器件电气特性.
《手把手教你学STM32》 主讲人 :正点原子团队 硬件平台:正点原子STM32开发板 版权所有:广州市星翼电子科技有限公司 淘宝店铺:
EDA 第一章 EDA技术概述.
实习前你需要知道的 PRESENTED BY Yisa.
三:基于Eclipse的集成开发环境搭建与使用
新一代安全网上银行 小组成员:杨志明 王晶 任毅 刘建中 关昊 刘超.
C语言程序设计 主讲教师:陆幼利.
第1章 概述 1.1 DSP实现方案及设计流程 1.2 现代DSP设计流程概述 1.3 两类DSP解决方案的比较.
第一章 认识PROTEL DXP   本章介绍了Protel DXP的在EDA设计中的作用机安装、卸载过程,对于已经熟练掌握Protel电路设计和计算机软件相关知识的用户,可以直接跳过本章,进入下一章的学习。
VisComposer 2019/4/17.
Platform Builder使用介绍 WINCE系统应用开发流程说明 ACTION RDC 杨 涛 2005.Dec.3th
第一章 概 述.
计算机网络与网页制作 Chapter 07:Dreamweaver CS5入门
项目二:HTML语言基础.
现代计算机组成原理 潘 明 潘 松 编著 科学出版社.
第四章 QuartusII软件的应用 本章重点: 本章难点: EDA技术 QuartusII的设计输入 QuartusII软件的综合与编译
JSP实用教程 清华大学出版社 第2章 JSP运行环境和开发环境 教学目标 教学重点 教学过程 2019年5月7日.
长春理工大学 电工电子实验教学中心 数字电路实验 数字电路实验室.
2019/5/8 第2章 数据分析软件介绍.
第八章 总线技术 8.1 概述 8.2 局部总线 8.3 系统总线 8.4 通信总线.
魏新宇 MATLAB/Simulink 与控制系统仿真 魏新宇
可编程逻辑器件器件的应用(讲座1) 兰州石化职业技术学院 电子技术教研室 贾 达.
现代电子技术综合实验 陈学英.
计算机绘图 AutoCAD2016.
GIS基本功能 数据存储 与管理 数据采集 数据处理 与编辑 空间查询 空间查询 GIS能做什么? 与分析 叠加分析 缓冲区分析 网络分析
第二节 C语言的特点.
印制板制作软件Protel入门篇.
FPGA系统设计与实践 本章小结(第5章).
《NIOS II那些事儿》视频教程 --软件安装(一)
《手把手教你学STM32-STemWin》 主讲人 :正点原子团队 硬件平台:正点原子STM32开发板 版权所有:广州市星翼电子科技有限公司
Presentation transcript:

第1章 EDA技术概述

1.1 EDA技术及其发展 EDA(Electronic Design Automation) 20世纪70年代 20世纪80年代 20世纪90年代 21世纪后

1.2 EDA技术实现目标

1.2 EDA技术实现目标 1. 可编程逻辑器件 2. 半定制或全定制ASIC (1)门阵列ASIC (2)标准单元ASIC

1.3 硬件描述语言Verilog HDL VHDL Verilog HDL SystemVerilog System C

1.4 其他常用HDL VHDL 与Verilog相比,VHDL有下列不足: 与Verilog相比,VHDL有下列优势: ● 语法比Verilog严谨,通过EDA工具自动语法检查,易排除许多设计中的疏忽。 ● 有很好的行为级描述能力和一定的系统级描述能力,而Verilog建模时,行为与系统级抽象及相关描述能力不及VHDL。 SystemVerilog System C

1.5 HDL综合 从自然语言转换到Verilog HDL语言算法表述 自然语言综合 从算法表述转换到寄存器传输级(Register Transport Level,RTL)表述 行为综合 从RTL级表述转换到逻辑门(包括触发器)的表述 逻辑综合 从逻辑门表示转换到版图级表述(ASIC设计),或转换到FPGA的配置网表文件, 版图综合或结构综合

1.5 HDL综合

1.5 HDL综合

1.6 自顶向下的设计技术

1.7 EDA技术的优势 (1)大大降低设计成本,缩短设计周期。 (2)有各类库的支持。 (3)简化了设计文档的管理。 (4)日益强大的逻辑设计仿真测试技术。 (5)设计者拥有完全的自主权,再无受制于人之虞。 (6)设计语言标准化,开发工具规范化,设计成果通用性,良好的可移植与可测试性,为系统开发提供了可靠的保证。 (7)能将所有设计环节纳入统一的自顶向下的设计方案中。 (8)整个设计流程上充分利用计算机的自动设计能力,在各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整全面的测试。

1.8 EDA设计流程

1.8 EDA设计流程 1.8.1 设计输入(原理图/HDL文本编辑) 1. 图形输入 2. HDL文本输入 1.8.2 综合

1.8 EDA设计流程 1.8.3 适配 1.8.4 时序仿真与功能仿真 (1)时序仿真 (2)功能仿真 1.8.5 编程下载 1.8.3 适配 1.8.4 时序仿真与功能仿真 (1)时序仿真 (2)功能仿真 1.8.5 编程下载 1.8.6 硬件测试

1.9 ASIC及其设计流程

1.9 ASIC及其设计流程 1.9.1 ASIC设计简介

1.9 ASIC及其设计流程 1.9.2 ASIC设计一般流程简述

1.10 常用EDA工具 1.10.1 设计输入编辑器 1.10.2 HDL综合器 1.10.3 仿真器 1.10.4 适配器 1.10.1 设计输入编辑器 1.10.2 HDL综合器 1.10.3 仿真器 1.10.4 适配器 1.10.5 下载器

1.11 Quartus II概述

1.12 IP核 IP就是知识产权核或知识产权模块的意思,在EDA技术开发中具有十分重要的地位。 软IP是用Verilog/VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。 固IP是完成了综合的功能块。 硬IP提供设计的最终阶段产品:掩模。

1.13 EDA技术发展趋势管窥 (1)在一个芯片上完成系统级的集成已成为可能。 (2)可编程逻辑器件开始进入传统的ASIC市场。 (3)EDA工具和IP核应用更为广泛。 (4)高性能的EDA工具得到长足的发展,其自动化和智能化程度不断提高,为嵌入式系统设计提供了功能强大的开发环境。 (5)计算机硬件平台性能大幅度提高,为复杂的SOC设计提供了物理基础。

思考题 1-1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA在ASIC设计中有什么用途? 1-2 与软件描述语言相比,VHDL有什么特点? 1-3 什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? 1-4 在EDA技术中,自顶向下的设计方法的重要意义是什么? 1-5 IP在EDA技术的应用和发展中的意义是什么? 1-6 叙述EDA的FPGA/CPLD设计流程,以及涉及的EDA工具及其在整个流程中的作用。