使用VHDL設計-七段顯示 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
北京市二级以上医院疾病预防控制工作考核标准(试行) (七)健康教育 北京市疾控中心 健康教育所 李玉青 副主任医师 二〇一一年八月 四、技术考核.
Advertisements

高中物理学习方法. 【状元说经】 胡湛智,是贵州省高考理科状元,他说复习物理 的要点首要的是充分重视课本知识,除了跟上老 师的步调外,自己一定要多钻研课本,课本上的 思考题是复习的纲,再找一些考点解析,认真搞 清每个概念、每个要求,并相应做一定数量的习 题;其次也要特别重视画图的作用,画图有直观、
人社分中心 职工养老保险业务简介. 基本养老保险分类 1. 职工养老保险 2. 新型农村社会养老保险 3. 城镇居民社会养老保险 (城乡居民社会养老保险) (城镇居民社会养老保险和新型农村社会养老 保险合并实施)
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
2代系統簡介 (招標、領標、開標、決標).
领会法律精神 理解法律体系 城控313-3班益达组制作.
理工科系介紹.
教您如何选购血糖仪 之血糖仪选购篇 检测小窍门【如何检测血糖仪误差?】 糖友在医院使用生化检测血糖值时,同时使用血糖仪检测血糖值,并记录
浙江省三年(2011、 2012、 2013) 高考物理试题分析.
社 会 保 险 知 识 培训教材.
诸葛亮广场.
第三期 重点管理标准和制度宣贯会 2016年5月12日.
國立金門大學101學年度新生報到暨入學說明會 國立金門大學 學生宿舍 學務處簡介.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
社会主义改革开放理论 坚定不移推进改革 ——全面深化改革.
新办纳税人办税服务指南 (郑州经济技术开发区国税局)
第4章 VHDL设计初步.
第六课 我们的 中华文化.
依法保护青少年健康成长 1、相关新闻 2、相关法律.
交流一: 您的客户为什么要买医疗产品? 1.人总归会生病,生病肯定要花钱; 2.现在的医疗费用真的很高,承受不起;
济源市国税局网上办税业务介绍 主讲人 办税服务厅 杨武兵 2014年8月1日.
学会学习.
霸气车辆.
第6章 VHDL设计应用实例 6.1 8位加法器的设计 6.3 序列检测器的设计 6.4 正负脉宽数控调制信号发生器的设计
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
數位邏輯設計 VHDL.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
集中保管有價證券 提存帳簿劃撥作業介紹 (發行人版)
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計-七段顯示 通訊一甲 B09622048 楊穎穆

目錄 1.目的 2.真值表 3.程式 4.實驗結果 5.資料來源

目的 ※主要是使用VHDL來設計七段顯示的電路,並燒進IC板裡測試它的結果,相較於上學期是使用繪畫電路圖的方式兩者有明顯的差異,不過得出來的結果是一樣的。

真值表 w x y z a b c d e f g 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 0 0 1 1 1 1 2 0 0 1 0 0 0 1 0 0 1 0 3 0 0 1 1 0 0 0 0 1 1 0 4 0 1 0 0 1 0 0 1 1 0 0 5 0 1 0 1 0 1 0 0 1 0 0 6 0 1 1 0 0 1 0 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 8 1 0 0 0 0 0 0 0 0 0 0 9 1 0 0 1 0 0 0 1 1 0 0 10~15 X X X X X X X

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity BCD2SEG is --IC內部要做的電路名稱 port( --接腳 w,x,y,z : in std_logic; --w,x,y,z為輸入腳 a,b,c,d,e,f,g : out std_logic -- a,b,c,d,e,f,g為輸出腳 ); end;

architecture Behave of BCD2SEG is --電路內部結構 signal i : std_logic_vector(3 downto 0); --輸入訊號向下計數由3到0 signal t : std_logic_vector(6 downto 0); --輸出訊號向下計數由6到0 begin i(3)<=w; i(2)<=x; i(1)<=y; i(0)<=z; --Grouping(群組化)i(3)搬入w, i(2)搬入x, i(1)搬入y, i(0)搬入z process(i) --處理i輸入的訊號 if i=“0000” then t<=“0000001”; --輸入為“0000”七段顯示就會顯示‘0’ elsif i="0001" then t<="1001111"; --輸入為“0001”七段顯示顯示‘1’ elsif i="0010" then t<="0010010"; --輸入為“0010”七段顯示顯示‘2’ elsif i="0011" then t<="0000110"; --輸入為“0011”七段顯示顯示‘3’ elsif i="0100" then t<="1001100"; --輸入為“0100”七段顯示顯示‘4’

elsif i="0101" then t<="0100100"; --輸入為“0101”七段顯示顯示‘5’ else t<=“XXXXXXX”; --don’t care項 end if; --結束if程式 end process; --結束process程式 a<=t(6); b<=t(5); c<=t(4); d<=t(3); e<=t(2); f<=t(1); g<=t(0); --Grouping(群組化)t(6)搬入a, t(5)搬入b, t(4)搬入c, t(3)搬入d, t(2)搬入e, t(1)搬入f, t(0)搬入g end Behave; --程式結束

實驗結果(1) ※當開關撥為”0000”的時候,七段顯示會顯示為’0’。然後當我開關撥為”0001”的時候,七段顯示就會顯示為’1’。這就是利用二進制轉七段顯示,所顯示出來的結果。

實驗結果(2) ※當開關撥為”0010”的時候,七段顯示會顯示為’2’。然後當開關撥為”0011”的時候,七段顯示會顯示為’3’。

實驗結果(3) ※當開關撥為”0100”的時候,七段顯示會顯示為’4’。然後當開關撥為”0101”的時候,七段顯示會顯示為’5’。

實驗結果(4) ※當開關撥為”0110”的時候,七段顯示會顯示為’6’。然後當開關撥為”0111”的時候,七段顯示會顯示為’7’。

實驗結果(5) ※當開關撥為”1000”的時候,七段顯示會顯示為’8’。然後當開關撥為”1001”的時候,七段顯示會顯示為’9’。

資料來源 ※主要的資料來源來至王志湖老師上課所教授的內容所做成的筆記及”數位邏輯”這本書。

END