Programmable Logic System Design

Slides:



Advertisements
Similar presentations
彰化縣和美鎮 和仁國民小學 本土語言教育暨 台灣母語日訪視 簡 報. 一. 學校概況 校地面積 校地面積廣達三公頃 學生活動空間寬廣!
Advertisements

什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
與櫻花有約 櫻花開放時間 櫻花前線 賞花便當 京都機場(附近) 夜櫻 哲學之道.
空間向量 朱泰吉 蔡宇翔 張力夫 莊孟霏.
美洲集团散拼项目分享 李维迪.
第2章 SOPC硬件开发环境及流程.
第2章 FPGA/CPLD结构与应用.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
Combinational Logic 組合邏輯
所有培训资料均可从网站 何宾 Tel: 版权所有,禁止未经授权的商业使用行为 何宾 Tel: 所有培训资料均可从网站
ALTERA FLEX10K / FLEX10KE Emulation Board 使用說明書.
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
第1章 FPGA概述 1.1 FPGA的发展历程 1.2 FPGA的基本原理 1.3 FPGA的设计方法 1.4 FPGA的设计流程
所有培训资料均可从网站 何宾 Tel: 版权所有,禁止未经授权的商业使用行为 何宾 Tel: 所有培训资料均可从网站
Chapter 06 Design Implementation Part I
VHDL數位電路實習與專題設計 文魁資訊-UE301
Arduino 基本知識.
VHDL數位電路實習與專題設計 文魁資訊-UE301
102年度新北市立三重商工旗艦計畫實施方案 先進車用電子控制技術培育計畫
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
浅谈基于FPGA的电路设计 报告人:吴爱平 2005/11/13
版权所有,禁止未经授权的商业使用行为 何宾 Tel: 北京中教仪装备技术有限公司.
“互联网+FPGA” 未来计算实验室 --让FPGA使用更简单、更便利、更便宜 FPGA 在线实验.
第4章 常用EDA工具软件操作指南 4.1 Lattice ispEXPERT 操作指南
C H A P T E R 8 体系结构对系统开发的支持.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
第6章 FPGA的下载配置电路设计.
   OR-CAD培训教程 欢迎参加OR-CAD培训      .
EDA 原理及应用 何宾
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
EDA 原理及应用 何宾
Programmable Logic Architecture Verilog HDL FPGA Design
使用VHDL設計--Moore Machine
JTAG INTERFACE SRAM TESTER WITH C-LCM
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第六章 安全衛生工作守則 6-1 前 言  6-2 訂定依據相關法令規定  6-3 工作守則製作程序及製作前應注意事項  6-4 如何訂定適合需要之安全衛生工作守則  6-5 結 論.
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
Engineering Documents Manager – EDM 工程文件管理员-EDM
计算机学院 数字逻辑实验的要求.
XILINX FPGA Download Programming
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
數位邏輯設計 VHDL.
SoC 與微控制器的發展 朱亞民.
Xilinx FPGA Design Flow
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
MPLAB IDE 8 建立專案.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
Presentation transcript:

Programmable Logic System Design Lab03- Simulation Preliminary SOC LAB. Chang-Ting Chen 2013.10

Lab Description 認識實驗版 Spartan-3 Starter Kit Board 撰寫 VHDL,利用 Switch 模組控制 LED 模組 定義I/O腳位(I/O Pins),產生燒錄檔(*.bit),燒錄並觀察在 FPGA 板子上的結果

Spartan-3 Starter Kit Board

Create Xilinx Project 若忘記 Xilinx 開新 Project 的設定請參考 Lab1 的講義 Device Family 選 Spartan3 系列 Device 型號詳見盒上便條紙 (xc3s200/xc3s400) Package 選 ft256 其它用 Xilinx 預設即可

Use Switches to Light LEDs library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity demo is Port ( swt : in std_logic_vector(7 downto 0); led : out std_logic_vector(7 downto 0)); end demo; architecture Behavioral of demo is begin led(7 downto 0) <= swt(7 downto 0); end Behavioral;

Pin Assignment Add the Implementation Constraints File

Pin Assignment (Cont’d) 腳位在FPGA板上都有寫 只需要填寫 Loc 欄即可

Pin Assignment (Cont’d) Properties of Generate Programming File 右鍵→Properties

Pin Assignment (Cont’d) Check Create Bit File (若已勾選則不用動) FPGA Start-Up Clock => JTAG Clock

Programming Process

Programming Process (Cont’d) Select Boundary-Scan Mode (預設) Select Automatically connect and identify (預設)

Detecting Boundary-scan Chain

Assign Configuration File to FPGA

Bypass Platform Flash PROM

Program Process 在左邊的圖示上按右鍵→Program

Programming succeeded 取消 Verify 選項 → 按 OK 進行燒錄 → 成功後出現以下畫面

Assignment 以VHDL 撰寫描述此一真值表並完成波形模擬圖、腳位設定以及Download。並輸入訊號觀察與模擬設計是否相符。