Presentation is loading. Please wait.

Presentation is loading. Please wait.

实验九 数模与模数转换电路 EWB仿真实验 数字电路实验(江西现代职业技术学院) 2019/5/13.

Similar presentations


Presentation on theme: "实验九 数模与模数转换电路 EWB仿真实验 数字电路实验(江西现代职业技术学院) 2019/5/13."— Presentation transcript:

1 实验九 数模与模数转换电路 EWB仿真实验 数字电路实验(江西现代职业技术学院) 2019/5/13

2 一、实验目的 1)熟悉D/A转换与A/D转换的基本概念及其转换关系。 2)了解D/A转换与A/D转换集成器件的使用方法及功能。
数字电路实验(江西现代职业技术学院) 2019/5/13

3 二、实验说明 D/A转换电路是将输入的数字量转换成模拟量的一种电路组成,其输出的模拟电压Uo或模拟电流Io与输入的数字量成比例,成比例关系式。 目前常见的D/A转换器中,有权电阻网络D/A转换器,倒T形电阻网络D/A转换器、权电流型D/A转换器、权电容网络D/A转换器和开关树型D/A转换器等多种类型。 R-2R倒T形D/A转换器是集成D/A转换器电路中应用最为广泛的一种。 常用的集成D/A转换器一般都是由倒T形电阻网络、模拟开关和数据寄存器组成。 A/D转换电路是把连续变化的模拟信号转换成相应的数字信号的一种电路组成。A/D转换电路通常由取样、保持、量化和编码四个部分组成。 常用的A/D转换器有并联比较型A/D转换器、逐次比较型A/D转换器和双积分型A/D转换器等多种类型。 数字电路实验(江西现代职业技术学院) 2019/5/13

4 三、实验步骤 1) 4位R-2R倒T形D/A转换器
在实验工作区搭建实验电路。对应三组4位二进制数,1111、1110、1101,分别设置模拟开关Si的状态,进行仿真实验。 数字电路实验(江西现代职业技术学院) 2019/5/13

5 把所测数据记入表中,分析、验证R-2R倒T形D/A转换器的工作原理。
输入信号 工作状态 输出电压 U0(V) D3 D2 D1 D0 I3 I2 I1 I0 I∑ Kv= 数字电路实验(江西现代职业技术学院) 2019/5/13

6 打开混合集成器件库,取出电压输出型DAC元件 。
在实验电路工作区搭建实验电路。取VREF=12V,输入的二进制数字量为 。打开仿真开关,进行仿真实验,观测测试数据,分析、验证集成DAC元件的转换功能。 数字电路实验(江西现代职业技术学院) 2019/5/13

7 在实验工作区用一个十进制加法计数器74160和一个电压输出型D/A转换器构成一个D/A转换功能测试电路 打开仿真开关,进行仿真实验。
集成数模转换器(DAC)实验电路 在实验工作区用一个十进制加法计数器74160和一个电压输出型D/A转换器构成一个D/A转换功能测试电路 打开仿真开关,进行仿真实验。 当时钟频率为2Hz时,DAC输出电压波形如图所示: 数字电路实验(江西现代职业技术学院) 2019/5/13

8 当时钟频率为20Hz时,DAC输出电压波形如图所示
对照DAC输出的阶梯形电压波形,分析、验证DAC的数模转换功能。 数字电路实验(江西现代职业技术学院) 2019/5/13

9 3)3位并联比较型A/D转换器 由分压电阻、集成运放电压比较器8线-3线优先编码器、门电路和译码显示电路构成的3位并联比较型A/D转换器如图所示。 数字电路实验(江西现代职业技术学院) 2019/5/13

10 电压比较器输出与编码器输出对应关系表 输入模拟信号 电压比较器输出状态 编码器 数字量输出 十进制数显示 Q7 Q6 Q5 Q4 Q3 Q2
A2 A1 A0 1 2 3 4 5 6 7 数字电路实验(江西现代职业技术学院) 2019/5/13

11 从数字器件库中选出74194,按图4.所示在实验电路工作区搭建实验电路。打开仿真开关,进行仿真实验。
数字电路实验(江西现代职业技术学院) 2019/5/13

12 选中8线-3线优先编码器74148,单击“帮助(?)”按钮,弹出的74148逻辑功能真值表如图所示 。
打开仿真开关,进行仿真实验。注意观测在GS=0,电路取样编码工作期间,随着输入模拟量Ui的增减变化,输出数字量对应的增减变化。分析、验证3位并联比较型A/D转换器功能。 数字电路实验(江西现代职业技术学院) 2019/5/13

13 设置VIN=2V,打开仿真开关,进行仿真实验,两位十六进制数码显示器显示为66。
4)集成模数转换器(ADC) 打开混合集成器件库,取出模数转换器(ADC),如图所示。在实验电路工作区搭建实验电路。图中,输入模拟电压的大小,由设置电压源VIN的大小决定;SOC和OE直接接+5V工作电源,使ADC能够连续取样和输出;输出二进制数码以两位十六进制数码显示。 设置VIN=2V,打开仿真开关,进行仿真实验,两位十六进制数码显示器显示为66。 数字电路实验(江西现代职业技术学院) 2019/5/13

14 设置VREF=10V、VIN=6V,打开仿真开关,进行仿真测试,两位十六进制数码显示器显示为99。
数字电路实验(江西现代职业技术学院) 2019/5/13

15 观测仿真实验显示,分析、验证ADC转换器功能,并与计算出来的理论值进行比较。
数字电路实验(江西现代职业技术学院) 2019/5/13


Download ppt "实验九 数模与模数转换电路 EWB仿真实验 数字电路实验(江西现代职业技术学院) 2019/5/13."

Similar presentations


Ads by Google