《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.

Slides:



Advertisements
Similar presentations
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
Advertisements

2011年10月31日是一个令人警醒的日子,世界在10月31日迎来第70亿人口。当日凌晨,成为象征性的全球第70亿名成员之一的婴儿在菲律宾降生。 ?
初级会计实务 第八章 产品成本核算 主讲人:杨菠.
做实验过程中发现的问题: 新建时工程文件夹建立了,但所建实体文件并没存放在其中 文件名不能用汉字
中考阅读 复习备考交流 西安铁一中分校 向连吾.
我国的宗教政策 第七课第三框.
中央广播电视大学开放教育 成本会计(补修)期末复习
人教版义务教育课程标准实验教科书 小学数学四年级上册第七单元《数学广角》 合理安排时间 248.
第4章 VHDL设计初步.
Combinational Logic 組合邏輯
中考语文积累 永宁县教研室 步正军 2015.9.
小学数学知识讲座 应用题.
倒装句之其他句式.
第6章 VHDL设计应用实例 6.1 8位加法器的设计 6.3 序列检测器的设计 6.4 正负脉宽数控调制信号发生器的设计
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
第 22 课 孙中山的民主追求 1 .近代变法救国主张的失败教训: “师夷之长技以制 夷”“中体西用”、兴办洋务、变法维新等的失败,使孙中山
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
數位邏輯 第6章布林代數化簡 6-1布林代數與邏輯電路組合 6-2第摩根定理的互換 6-3積項和式之組合邏輯
數位邏輯設計-邏輯閘 以LabView實作驗證理論
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
義守大學電機工程學系 陳慶瀚 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
數位邏輯 第6章布林代數化簡 6-1布林代數與邏輯電路組合 6-2第摩根定理的互換 6-3積項和式之組合邏輯
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
義守大學電機工程學系 陳慶瀚 第3章 VHDL Concurrent語法 義守大學電機工程學系 陳慶瀚
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
第七章 正反器 台北市私立景文高級中學 資電學程 7-1 RS型正反器 7-2 D型正反器 7-3 JK型正反器 7-4 T型正反器 吳永義
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
或閘的特性與符號 所有的輸入均為0,輸出才為0 ▲ 圖 3-1 或閘的邏輯概念 ▲ 圖 3-2 或閘的電路符號.
數位邏輯設計 VHDL.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
畢氏定理(百牛大祭)的故事 張美玲 製作 資料來源:探索數學的故事(凡異出版社).
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
102年人事預算編列說明 邁向頂尖大學辦公室製作.
Presentation transcript:

《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日

第 六 讲 组合逻辑电路设计 教学目的:使学生掌握组合逻辑电路的设计方法。 第 六 讲 组合逻辑电路设计 教学目的:使学生掌握组合逻辑电路的设计方法。 教学重点:CPLD/FPGA器件实现组合逻辑电路;编码器、译码器。 教学难点:VHDL与CPLD/FPGA工程实现。 教学方法:讲授法、计算机辅助法。 课时计划:2学时 使用教材:EDA技术及应用.谭会生等.西安:西安电子科技大学出版社 主要参考文献: [1] 徐光辉等.CPLD/FPGA的开发和应用[M].北京:电子工业出版社 [2] 侯伯亨等.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社 [3] http://www.altera.com [4] 周立功等.SOPC嵌入式系统基础教程[M].北京:北京航空航天大学出版社

课题:组合逻辑电路设计 一、 VHDL编程设计 二、 VHDL实际应用 三、基本门电路设计 四、四选一选择器电路设计 五、三态门及总线缓冲器电路设计 六、课堂小结 七、作业

3个二进制输入端A,B,C和8个译码输出端y0~y7。 EN使能输入脚为方便译码器的控制或便于将来扩充用。

表1 3-8线译码器的真值表

(2)译码器的VHDL编程

三、基本门电路设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY GATE IS PORT (A,B: IN STD_LOGIC; YAND,YOR,YNAND,YNOR,YNOT,YXOR: OUT STD_LOGIC); END ENTITY GATE; ARCHITECTURE ART OF GATE IS BEGIN YAND<=A AND B; --与门输出 YOR<=A OR B; --或门输出 YNAND<=A NAND B; --与非门输出 YNOR<=A NOR B; --或非门输出 YNOT<=NOT B; --反相器输出 YXOR<=A XOR B; --异或门输出 END ARCHITECTURE ART;

四、四选一选择器电路设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41 IS PORT (INP: IN STD_LOGIC_VECTOR(3 DOWNTO 0); A,B:IN STD_LOGIC; Y:OUT STD_LOGIC); END ENTITY MUX41; ARCHITECTURE ART OF MUX41 IS SIGNAL SEL:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN SEL<=B&A; PROCESS(INP,SEL) IF(SEL="00")THEN Y<=INP(0); ELSIF (SEL="01")THEN Y<=INP(1); ELSIF (SEL="11")THEN Y<=INP(2); ELSE Y<=INP(3); END IF; END PROCESS; END ARCHITECTURE ART;

五、三态门及总线缓冲器电路设计 1.三态门电路 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY TRISTATE IS PORT (EN,DIN:IN STD_LOGIC; DOUT:OUT STD_LOGIC); END TRISTATE; ARCHITECTURE ART OF TRISTATE IS BEGIN PROCESS(EN,DIN) IF EN='1' THEN DOUT<=DIN; ELSE DOUT<='Z'; --DOUT<='1'; END IF; END PROCESS; END ARCHITECTURE ART;

五、三态门及总线缓冲器电路设计 2.单向总线驱动器电路 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY TR1_BUF8 IS PORT (DIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0); EN:IN STD_LOGIC; DOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END ENTITY TR1_BUF8; ARCHITECTURE ART OF TR1_BUF8 IS BEGIN PROCESS(EN,DIN) IF(EN='1')THEN DOUT<=DIN; ELSE DOUT<="ZZZZZZZZ"; END IF; END PROCESS; END ARCHITECTURE ART;

五、三态门及总线缓冲器电路设计 3.双向总线驱动器电路 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY BIDIR IS PORT(A,B:INOUT STD_LOGIC_VECTOR(7 DOWNTO 0); EN,DIR:IN STD_LOGIC); END ENTITY BIDIR; ARCHITECTURE ART OF BIDIR IS SIGNAL AOUT,BOUT:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(A,EN,DIR) IF((EN='0')AND (DIR='1'))THEN BOUT<=A; ELSE BOUT<="ZZZZZZZZ"; END IF; B<=BOUT; END PROCESS; PROCESS(B,EN,DIR) IF((EN='0')AND (DIR='0'))THEN AOUT<=B; ELSE AOUT<="ZZZZZZZZ"; A<=AOUT; END ARCHITECTURE ART;

课外作业: 教材P210 3.18、3.26