《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月22日星期三.

Slides:



Advertisements
Similar presentations
高三英语有效复习策略 程国学. 一、高考备考的方向把握 1. 认真研究普通高中《英语课程标准》和《福建 省考试说明》关注高考命题原则和发展方向,定 准复习教学起点 1. 认真研究普通高中《英语课程标准》和《福建 省考试说明》关注高考命题原则和发展方向,定 准复习教学起点 一是明确高考英语可能考什么,我们应该怎样准.
Advertisements

考纲研读 语言知识要求 语言运用能力 附录 1: 语音项目表 附录 2: 语法项目表 附录 3: 功能意念项目表 附录 4: 话题项目表 附录 5: 词汇表 听力 阅读 写作 口语.
100 學年度 勞委會就業學程 國際企業管理學系-物業管理學程介紹. 何謂物業管理? 以台灣物業管理學會 所述,物業管理區分為 「物」、「業」、「人」三區塊。台灣物業管理學會 「物」係指傳統的建物設備、設施 「業」為不動產經營的資產管理 「人」則以生活服務、商業服務為主,並以人為 本位連結物與業,形成今日物業管理三足鼎立新.
图书馆管理实务.
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
SOPC技术 数字逻辑电路 主讲:刘昌华 嵌入式技术研究所
行政命令.
共产党领导的多党合作和政治协商制度: 中国特色的政党制度.
主讲:材料工程学院党总支宣传委员、党务秘书 教工党支部书记 王国志 2015年12月7日
普通高中新课程实验 若干问题 广东省教育厅教研室 吴惟粤 2004年4月29日 广州.
前言 採購程序每一環節所涉及人員,無論是訂定招標文件、招標、審標、決標、訂約、履約管理、驗收及爭議處理,如缺乏品德操守,有可能降低採購效率與品質,影響採購目標之達成,甚有違法圖利情事發生,致阻礙政府政策之推動並損害公共利益。因此,較之一般公務人員,採購人員更需遵循較高標準之道德規範。 主講人:林中財.
欢迎新同学.
2015年新课标高考历史试题分析 暨考试方向研判 李树全 西安市第八十九中学.
课题四 以天池、博斯腾湖 为重点的风景旅游区
“健康的基督徒” 入门.
南台科技大學電子工程系 指導老師:楊榮林 老師 學生姓名:蔡博涵 巨物索餌感測裝置(第II版)
2015年汕头一模质量分析会 34(1)题分析 濠江区河浦中学 詹金锋 34(2)题分析 汕头市实验学校 董友军
士師逐個捉(II) 石建華牧師 24/07/2016.
宣讲数学课程标准 增强课程改革意识.
高考地理全国卷和安徽卷 的对比分析及备考策略
快乐生活,快乐学习 《中国古代诗歌散文欣赏》.
班級經營之再思 香港班級經營學會 黃鳳意
佛法原典研習 五陰誦 (II) 2007/5/13 整理此報告的方式 : 主要節錄 果煜法師說法之重點.
2014年度合肥市中小学生学业质量 绿色指标测试相关情况说明及考务工作要求
普通高中课改方案介绍.
曾一 陈策 重庆大学计算机学院基础科学系 重庆
高三物理后期复习策略 秦皇岛市实验中学 刘苏祥.
理想与现实 有一所大学叫做“社会”,它教会人们奉承比自己强的,挤兑和自己差不多的,欺凌比自己弱的。
101學年度第二學期 呼吸治療學系 師生座談會 102年5月15日.
第2章 SOPC硬件开发环境及流程.
第七章 机械加工工艺规程的制定.
家庭教育與服務學習.
压缩语段 II.
普通高中课程改革的方案与推进策略 安徽省教育厅 李明阳.
高校人才培养与学科建设的一些探索 徐哲峰 西北大学数学学院 2015年6月30日.
新课程背景下 高中教务主任工作的思考 南京市教学研究室 陆静.
精彩纷呈的 桂剧和彩调 ——桂林地方戏曲赏析.
網路填報系統學生異動轉銜操作及科技化評量6月 成長測驗施測說明
張智星 清大資工系 多媒體檢索實驗室 MATLAB小傳與外觀 張智星 清大資工系 多媒體檢索實驗室.
機械工程學系課程地圖 先進材料與精密製造組 設計分析組 校訂共同必修課程 機械系訂 必修課程 組訂 必修課程 畢業專題 工學院訂必修課程
生命轉化 (II) 天父的心 石建華牧師 13/09/2015.
运用Matlab GUI辅助大学物理实验 蒋志洁 中山大学 物理学院
全国高考语文试卷解析 与备考建议 张彬福.
国际关系的决定因素:国家利益 江苏省震泽中学 丛海啸.
普通高中校本课程开发与实施 崔允漷 教授、博导 普通高中新课程国家级通识研修专题之一 华东师范大学课程与教学研究所副所长
2015年高考病句题 1.(安徽)下列各句中,没有语病的一句是(4分)( )
*§8 反常二重积分 与反常定积分相同, 二重积分亦有推广到积分区域是无界的和被积函数是无界的两种情形, 统称为反常二重积分.
合肥市第47中学 李 恒
帝國主義 法國大革命 、美國革命.
马克思主义基本原理概论 总复习 孔祥旭
摩西五經系列:申命記.
檢調機關函調、搜索、約談訊問之認識 (含教師因公涉訟輔助)
电工电子实验中心.
日本觀光旅館實習 期間: 2012年7月5日~9月5日 成員: 學生30名+帶隊老師2名.
民法第五章:權利客體 楊智傑.
第3章 DSP Builder设计入门 3.1 DSP Builder及其设计流程 3.2 DSP Builder设计向导
盡情的敬拜 耶穌,聖潔公義救主, 彰顯神的智慧能力, 祢的愛是何等長闊高深, 滿有豐富無窮的恩典。 耶穌,權柄統管萬有,
浅谈基于FPGA的电路设计 报告人:吴爱平 2005/11/13
EDA技术实用教程 第1章 概 述.
第14章 其它DSP设计库 14.1 总线控制库 14.2 复数信号库 14.3 Gates库 14.4 状态机函数库
高级微观经济学 东北大学工商管理学院 向涛.
第6章 FIR数字滤波器设计 6.1 FIR数字滤波器原理 6.2 使用DSP Builder设计FIR数字滤波器
研究沙崇學生對生活藝術科的安排的意見及建議
第三章 指數與對數 3-2 指數函數及其圖形.
第1章 概述 1.1 DSP实现方案及设计流程 1.2 现代DSP设计流程概述 1.3 两类DSP解决方案的比较.
3-3 錐度車削方法 一、尾座偏置車削法 二、錐度附件車削法 三、複式刀座車削法.
第四章 QuartusII软件的应用 本章重点: 本章难点: EDA技术 QuartusII的设计输入 QuartusII软件的综合与编译
毕业设计课题: 用MATLAB实现 一种快跳频通信系统
桃園市108學年度國民中學資賦優異學生鑑定家長說明會
真信心的果效 雅各書2:
Presentation transcript:

《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月22日星期三

第 十三 讲 基于FPGA的DSP开发技术 教学目的:使学生会用Quartus II和DSP Builder软件设计 教学方法:讲授法、计算机辅助法。 课时计划:2学时 使用教材: SOPC技术与应用.江国强 编著.北京:机械工业出版社 主要参考文献: [1] 刘洪涛.ARM嵌入式体系结构与接口技术[M].北京:人民邮电出版社 [2] 田耘等.无线通信FPGA设计[M].北京:电子工业出版社 [3] 孟宪元等.FPGA嵌入式系统设计教程[M].北京:电子工业出版社 [4] 徐光辉等.基于FPGA的嵌入式开发与应用[M].北京:电子工业出版社 [5] 沈文斌.嵌入式硬件系统设计与开发实例详解[M].北京:电子工业出版社 [6] 周立功等.SOPC嵌入式系统基础教程[M].北京:北京航空航天大学出版社 [7] 王彦等.基于FPGA的工程设计与应用[M].西安:西安电子工业出版社 [8] 周润景等.基于QuartusII的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社 [9] http://www.altera.com

课题:基于FPGA的DSP开发技术 一、Matlab/DSP Builder的DSP模块设计 二、 基于Quartus II的DSP模块调试 四、课堂小结 五、作业

一、Matlab/DSP Builder的DSP模块设计 DSP Builder是一个系统级(或算法级)设计工具,依赖于MathWorks公司的数学分析工具Matlab/Simulink,在Simulink中进行图形化设计和仿真,通过SignalCompiler可以把Matlab/Simulink的设计文件(.mdl)转成相应的硬件描述语言VHDL设计文件(.vhd),再由FPGA/CPLD开发工具Quartus II来完成。 DSP Builder设计流程如下: 第一步在Matlab/Simulink中进行设计输入,即在Matlab的Simulink环境中建立一个mdl模型文件,用图形方式调用Altera DSP Builder和其它Simulink库中的图形模块(Block),构成系统级或算法级设计框图(或称Simulink设计模型)。 第二步利用Simulink强大的图形化仿真、分析功能,分析此设计模型的正确性,完成模型仿真。 第三步通过SignalCompiler把Simulink的模型文件(后缀为.mdl)转化成通用的硬件描述语言VHDL文件(后缀为.vhd)。 第四步用Quartus II 、ModelSim对以上设计产生的VHDL的RTL代码和仿真文件进行综合、编译适配以及仿真。

一、Matlab/DSP Builder的DSP模块设计 2.基于FPGA的DSP系统的系统结构可重配置方法 由于不同的配置文件下载于FPGA后,将能获得不同的硬件结构和硬件功能,基于FPGA的DSP系统的系统结构可重配置方法有: (1) 将多个配置文件预先存储在DSP系统的ROM中,系统根据实际需要自动选择下载的配置文件。缺点是配置文件数有限。 (2) 将配置文件全部预存在大存储器中,或PC机中,由外围系统选择下载配置文件。 (3) 通过无线遥控方式,对远处的DSP应用系统进行配置,从而遥控改变功能模块或系统的硬件结构,达到改变技术指标和硬件工作方式的目的。 (4) 通过互联网进行配置,实现远程硬件结构控制。

一、Matlab/DSP Builder的DSP模块设计 1)建立Matlab设计模型 (Model); 注:首先要放置SignalCompiler图标(编译控制符号)

一、Matlab/DSP Builder的DSP模块设计

一、Matlab/DSP Builder的DSP模块设计 3)使用SignalCompiler进行模型文件的转换;

二、基于Quartus II的DSP模块调试 基于硬件描述语言的数字系统设计步骤 1)创建工程和编辑设计文件 (1)新建一个文件夹; (2)输入源程序; (3)文件存盘。 2)创建工程 (1)打开建立新工程管理窗; ( 2)将设计文件加入工程中; ( 3)选择仿真器和综合器类型; ( 4)选择目标芯片; (5)结束设置。 3)编译前设置 ( 1)选择目标芯片; ( 2)选择目标器件编程配置方式; ( 3)选择输出配置; ( 4)选择目标器件闲置引脚的状态; (5)编译模式的选择 4)编译 5)波形仿真 6)引脚锁定、编译和下载

二、基于Quartus II的DSP模块调试 1. 对DSP Builder 生成的工程文件进行编译

二、基于Quartus II的DSP模块调试 3. 硬件实现与测试 (1)引脚锁定; 与目标芯片的引脚连接关系表 (2)下载设计文件; (3)硬件验证设计电路。 注:输出需接并行D/A变换的数据输入端。

二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 第一步:基于DSP Builder设计模型与Quartus文件转换 阶梯信号发生器模块产生26=64个线性递增的地址数据 正弦函数:sin([起始值:步进值:结束值]) 变化范围-127~+127:127*sin(0:2*pi/2^6):2pi]) 变化范围0~255:128+127*sin(0:2*pi/2^6):2pi])

二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 第一步:基于DSP Builder设计模型与Quartus文件转换 Initial seed用于设置起始值 Variance用于设置偏差 Mean设置随机函数的平均值 Scope”Parameters”(参数设置)中“Number of axes”参数为“2”表示双踪示波器

二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 第一步:基于DSP Builder设计模型与Quartus文件转换

二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 第二步:基于Quartus II的工程编译与调试

三、DSP Builder的层次设计 DSP Builder的层次设计是利用DSP Builder软件工具,将设计好的DSP模型生成子系统(SubSystem),这个子系统是单个元件,可以独立工作,也可以与其他模块或子系统构成更大的设计模型,还可以作为基层模块,被任意复制到其他设计模型中。 命令:“Create subsystem”

课堂小结 一、Matlab/DSP Builder的DSP模块设计 二、 基于Quartus II的DSP模块调试 (“Create subsystem”的应用)

课外作业: 试设计一个可控正弦信号发生器。 课外作业: 试设计一个可控正弦信号发生器。