8.9二进制振幅键控(ASK) 调制器与解调器设计

Slides:



Advertisements
Similar presentations
完美殺人筆記簿 【爸!我受夠了!】 第七組組員: 林正敏 陳筱涵 李蓓宇 許純宜 羅玉芬 謝文軒.
Advertisements

“ 上海市科研计划课题预算编制 ” 网上教程 上海市科委条财处. 经费预算表 表 1 劳务费预算明细表 表 2 购置设备预算明细表 表 3 试制设备预算明细表 表 4 材料费预算明细表 表 5 测试化验与加工费预算明细表 表 6 现有仪器设备使用费预算明细表 小于等于 20 万的项目,表 2 ~表.
平台的优点: ( 1 )永久免费: 学校和老师使用校讯通平台发送短信 是免费的,并且通过使用平台,可获得部分购物卡补贴。 ( 2 )移动办公: 校讯通不受时间和空间的限制,只要 有一台可以上网的电脑,老师便可以通过互联网发送短信 给家长,能够实现移动办公,节省老师的工作时间。 ( 3 )简单易用:
社交礼仪.
是网络还是“网落”.
損益表 原則: 收益與費用的計算,實際上是在實現或發生時所產生,與現金收付當時無關。
報告者:蕭曄鴻 班級:溫馨甲孝 指導教授:李開濟博士
單元名稱: 健康的兩性交往.
《中国共产党发展党员工作细则》 学习提纲 中共进贤县委组织部 宋 剑
严格发展程序,提高工作能力 黄 玉 2010年9月.
发展党员的流程和要求 党委组织部 萧炽成.
莫让情感之船过早靠岸 兴庆回中 赵莉.
行政公文写作 第七章 2004年8月 行政公文写作.
论文撰写的一般格式和要求 孟爱梅.
短促·匆忙 初二(10)班.
第三章 幼儿园课程内容的编制与选择.
单招班主任培训会 生源地助学贷款解读 单招班主任工作要求 新生资助政策解读 学生工作处 2015年5月.
第三章  电话、电子通讯   本章重难点:     打电话的方法、         接听电话的方法。
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
电话联系.
迎宾员礼仪 包头机电工业职业学校管理系 白琳 1.
第4章 VHDL设计初步.
食用受污染三鹿牌婴幼儿配方奶粉相关的 婴幼儿泌尿系统结石的超声诊断.
《社交礼仪分享》 阳晨牧业科技有限公司 市场中心 二O一二年四月十八日.
会议文书.
如何写入团申请书.
财 务 会 计 第四篇:供应链会计实务 制作人:谌君、熊瑜.
第11周 工作计划.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計--Moore Machine
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第六章 安全衛生工作守則 6-1 前 言  6-2 訂定依據相關法令規定  6-3 工作守則製作程序及製作前應注意事項  6-4 如何訂定適合需要之安全衛生工作守則  6-5 結 論.
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
第九章 結 帳 9-1 了解結帳的意義及功能 9-2 了解虛帳戶結清之會計處理 9-3 了解實帳戶結轉的會計處理
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
數位邏輯設計 VHDL.
中国大连高级经理学院博士后入站申请汇报 汇报人:XXX.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
內部控制作業之訂定與執行 報告人:許嘉琳 日 期:
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
第七章 基本逻辑电路设计.
這七個故事很簡短,但她們說的都是一個主題——愛情!真心希望你們每個故事都看一下,不會用很長時間,但保證你能感到那種被震撼的感覺!
Programmable Logic System Design
Presentation transcript:

8.9二进制振幅键控(ASK) 调制器与解调器设计

ASK调制方法 数字信号对载波振幅调制称为振幅键控即 ASK(Amplitude-Shift Keying)。 ASK有两种实现方法: 1.乘法器实现法 2.键控法

1.乘法器实现法 乘法器实现法的输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰。 带通滤波器的输出是振幅键控信号。 乘法器常采用环形调制器。

2.键控法 键控法是产生ASK信号的另一种方法。二元制ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。 (键控法产生ASK信号原理框图 )

数字电路实现键控产生ASK信号的实例 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,上图所示就是以数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,实现ASK调制,产生 信号。

ASK解调方法有两种 1. 同步解调法 2. 包络解调法。

1.同步解调 同步解调也称相干解调, 信号经过带通滤波器抑制来自信道的带外干扰,乘法器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰。 (1)发“1”码时情况 发“1”码时,输入的ASK信号为 ,它能顺利地通过带通滤波器。 为零均值的高斯白噪声,经过带通滤波器后变为窄带高斯噪声,用 表示。经过低通滤波器后,输出信号为x(t), 也就是取样判决器的输入信号。

(2)发“0”码时情况 发“0”码时,ASK信号输入为0,噪声仍然存在,经过低通滤波器后,输出信号为x(t), x(t)也是取样判决器的输入信号。 综合上面的分析,可得

下面讨论判决问题。 若没有噪声,上式简化为 此时判决电平取0~A的中间值A/2,大于A/2判为“1”码,小于A/2判为“0”码。在无噪声时,判决一定是正确的。

2.包络解调 包络解调是一种非相干解调 (ASK包络解调方框图)

发“1”码时的情况 包络检波器的输入为,为信号加窄带高斯噪声,输出为信号加窄带高斯噪声的包络,它服从莱斯分布,如左图所示。 其概率密度为

发“0”码时的情况 包络检波器输入为 ,输出 则为的包络,即噪声的包络,它服从瑞利分布,如上页图所示。其概率密度为 包络检波器输入为 ,输出 则为的包络,即噪声的包络,它服从瑞利分布,如上页图所示。其概率密度为 与同步解调相似,为使误码率最小,判决电平应 和 的交点的横坐标值,如 图中 ,称为最佳门限,经分析,得到 当信噪比 (即大信噪比)时,

ASK调制VHDL程序及仿真

ASK调制方框图 注:图中没有包含模拟电路部分,输出信号为数字信号。

ASK调制电路符号

ASK调制VHDL程序 --文件名:ASK.vhd --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 --最后修改日期:2004.3.16 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK is port(clk :in std_logic; --系统时钟 start:in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end ASK; architecture behav of ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号

begin process(clk) if clk'event and clk='1' then if start='0' then q<=0; elsif q<=1 then f<='1';q<=q+1; --改变q后面数字的大小,就可以改变载波信号的占空比 elsif q=3 then f<='0';q<=0; --改变q后面数字的大小,就可以改变载波信号的频率 else f<='0';q<=q+1; end if; end process; y<=x and f; --对基带码进行调制 end behav;

ASK调制VHDL程序仿真图及注释

注:a.基带码长等于载波f的6个周期。 b. 输出的调制信号y滞后于输入基带信号x一个clk时间。

ASK解调VHDL程序及仿真

ASK解调VHDL程序 --文件名:ASK2 --功能:基于VHDL硬件描述语言,对ASK调制信号进行解调 --最后修改日期:2004.2.12 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK2 is port(clk :in std_logic; --系统时钟 start :in std_logic; --同步信号 x :in std_logic; --调制信号 y :out std_logic); --基带信号 end ASK2; architecture behav of ASK2 is signal q:integer range 0 to 11; --计数器

signal xx:std_logic; --寄存x信号 signal m:integer range 0 to 5; --计xx的脉冲数 begin process(clk) --对系统时钟进行q分频, if clk'event and clk='1' then xx<=x; --clk上升沿时,把x信号赋给中间信号xx if start='0' then q<=0; --if语句完成q的循环计数 elsif q=11 then q<=0; else q<=q+1; end if; end process;

process(xx,q) --此进程完成ASK解调 begin if q=11 then m<=0; --m计数器清零 elsif q=10 then if m<=3 then y<='0';--if语句通过对m大小,来判决y输出的电平 else y<='1'; end if; elsif xx'event and xx='1'then m<=m+1; --计xx信号的脉冲个数 end process; end behav;

ASK程序解调仿真图及注释 (ASK解调仿真全图 )

(ASK解调仿真局部放大图 ) 注:a.在q=11时,m清零。 b.在q=10时,根据m的大小,对输出基带信号y的电平的进行判决。 c.在q为其它时,m计xx(x信号的寄存器)的脉冲数。 d. 输出的基带信号y滞后输入的调制信号x 10个clk。 (ASK解调仿真局部放大图 )