義守大學電機工程學系 陳慶瀚 pierre@mail.isu.edu.tw 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚 pierre@mail.isu.edu.tw.

Slides:



Advertisements
Similar presentations
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
Advertisements

第一章 十六世紀中葉以前的臺灣與原住民 第一節 考古發掘與史前文化.
藥物濫用 華德學校上午校 黃秀雯.
兒童期 7 青春期 兩性圓舞曲 乘客:七年級同學 司機:張立杰老師.
星星知我心 談古話今….. ……..觀星望斗 主講人: 陽光青春美少男.
反垃圾掩埋場相關報告 組長:文煊 組員:鄭侃文 李浩暐 胡育睿 李瑞耘 朱祐賢 林承宇.
"性"不"性"由你 性別平等之探討 北屯國小 張文陵.
組員: 洪暐翔、 賴峻毅 侯家豪、 賴琦穎 指導老師: 王惠鈴 老師
我国的宗教政策 第七课第三框.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
Chapter 5 Sequential Logic Circuit
Combinational Logic 組合邏輯
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
第一章 C语言概述.
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
第 7 章 正反器 7-1 RS 閂鎖器 7-2 RS 型正反器 7-3 D 型正反器 7-4 JK 正反器 7-5 T 型正反器
邏輯設計.
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
Danny Mok Altera HK FAE AHDL培训教材 Danny Mok Altera HK FAE 2018/12/9 P.1.
AIM-spice Miao-shan, Li.
Programmable Logic Architecture Verilog HDL FPGA Design
使用VHDL設計--Moore Machine
第1章 單晶片微電腦概論.
邏輯設計 Logic Design 顧叔財, Room 9703, (037)381864,
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
義守大學電機工程學系 陳慶瀚 第3章 VHDL Concurrent語法 義守大學電機工程學系 陳慶瀚
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
義守大學電機工程學系 陳慶瀚 第4章 VHDL Sequential語法 義守大學電機工程學系 陳慶瀚
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
第二章 逻辑代数基础 10.
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
或閘的特性與符號 所有的輸入均為0,輸出才為0 ▲ 圖 3-1 或閘的邏輯概念 ▲ 圖 3-2 或閘的電路符號.
數位邏輯設計 VHDL.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
2016台中市不動產高峰論壇 房地合一稅與房市政策 德明財經科技大學 花敬群
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

義守大學電機工程學系 陳慶瀚 pierre@mail.isu.edu.tw 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚 pierre@mail.isu.edu.tw

1. VHDL語言

1.1 VHDL的發展 - 1980年代初:VHSIC(Very High Speed Integrated Circuit)的計劃以gate level的方式描述電路。 - 1982年:VHSIC硬體描述語言(VHSIC Hardware Description Language),簡稱VHDL。 - 1987年: VHDL成為IEEE標準(IEEE 1076) 。 - 1988年:美國國防部規定所有官方的ASIC設計均要以VHDL為其硬體描述語言,自此之後VHDL也漸漸成為業界間流通的一種標準。 - 1994 : IEEE發表新版 VHDL Standard 1164 - 1996 :結合電路合成的程式標準規格,發表IEEE 1164.3 -現在:VHDL已經成為「電子設計自動化」(EDA)工程的共通語言; -未來:透過VHDL ,設計電子工業的「矽智產」(Silicon IP)。 

1.2 VHDL的優點

1.3 使用VHDL

1.4 VHDL的基本架構 entity 晶片名稱 is port(宣告輸入和輸出接腳); end 晶片名稱 ; architecture 架構名稱 of 晶片名稱 is Begin ... VHDL 共時(concurrent)指令 ....  end 架構名稱 ;

1.5 第一個VHDL範例 --inverter gate library IEEE; INPUT OUTPUT --inverter gate library IEEE; use IEEE.STD_LOGIC_1164.all;   entity inver_vhdl is port ( input: in STD_LOGIC; output: out STD_LOGIC ); end inver_vhdl; architecture a of inver_vhdl is begin output <= not input; end a; 註解說明 Use宣告區&標準定義宣告庫 單體宣告區: input定義為輸入位元; output定義為輸出位元。 架構宣告區: 描述inver_vhdl電路的動作是將input經過反相後傳送到output。

1.6 Entity:描述 I/O port 的規格 Example: D Flip-Flop 語法: ENTITY entity_name IS PORT ( … ); END entity_name ; Example: D Flip-Flop ENTITY dff IS PORT(D :IN STD_LOGIC; CK :IN STD_LOGIC; CLR:IN STD_LOGIC; Q :OUT STD_LOGIC; Qb :OUT STD_LOGIC); END dff;

1.7 Entity的特性

1.8 Architecture:描述內部電路 一個 Entity 可存在多個Architecture 語法: ARCHITECTURE a_name OF e_name IS -- signals,variables declaration BEGIN -- statements END a_name ; 範例: ARCHITECTURE behavior OF dff IS BEGIN BEGING IF(CLR = ‘1’) THEN Q <= ‘0’; ELSE CK’EVENT AND CK=‘1’ THEN Q <= D; ENDIF; END behavior;

1.9 Architecture的特性

1.10 Concurrent v.s. Sequential Each statement execute at the same time ( logic circuits ) Sequential Statements execute in series ( programming languages as C, FORTAN ) Statement A = B; B = C; assume : A=1,B=2,C=3  concurrent result A = B = C= 3 sequential result A = B = 2 B = C = 3

2. 初階VHDL語法

2.1 Identifier

2.2 Entity Declaration

2.3 Architecture Declaration

2.4 Data Object Constant Variable Signal File constant RISE_TIME:TIME:=10ns; constant BUS_WIDTH:INTEGER:=8; Variable variable STATUS:BIT_VECTOR(10 download 0); variable SUM:INTEGER range 0 to 100:=10; variable ONE,TWO,THREE:BOOLEAN; Signal signal CLOCK:BIT; signal DATA_BUS:BIT_VECTOR(0 to 7); signal INIT:STD_LOGIC_VECTOR(7 download 0); File

2.5 Number

2.6 VHDL的STD_LOGIC訊號型態 VHDL中標準邏輯(STD_LOGIC)訊號型式定義是: Type STD_LOGIC is( ‘X’, --Forcing Unknown;浮接不定 ‘0’, --Forcing 0;低電位 ‘1’, --Forcing 1;高電位 ‘Z’, --High Impedance;高阻抗 ‘W’, --Weak Unknown;弱浮接 ‘L’, --Weak 0;弱低電位 ‘H’, --Weak 1;弱高電位 ‘-’, --Don’t care;不必理會 );

VHDL的邏輯運算子 :not and or xor nand   entity nor_vhdl is port ( A,B: in STD_LOGIC; C: out STD_LOGIC ); end nor_vhdl; architecture a of nor_vhdl is begin C <= not (A or B); end a;  

結論