使用VHDL設計—向上&向下計數器 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
平面构成 第六章 平面构成形式与法则 — 破规与变异. 第七章 平面构成形式与法则 — 破规与变异 破规与变异构成的形式、有下列四类: 一、特异构成 特异构成。其表现特征是,在普遍相同性质的事物 当中,有个别异质性的事物,便会立即显现出来。
Advertisements

台北市立南港高工 建築科 Architecture 建築科之精神:活力、責任、效率 建築科之展望:共築美麗人生 綜合高中 土木建築學程簡介  綜高土建升學進路  未來出路展望  專業證照考試  未來展望圖例  綜高土建學程課程架構  土建群統一入學測驗考科  升學人數統計.
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
歷史報告 自強運動 指 導 老 師: 盧雨芯 製 作 簡 報: 第三組
組員:何浚壟4990Y031 詹昀蓉4990Y052 林怡汝4990Y053 黃亦雯4990Y055 王宜珺4990Y056
学龄前儿童(3-6)行为与社会环境 组长:吾尔克西 讲述人:张紫桓 ppt制作:管吉 熊萌 资料收集:徐琰 李捷羚.
指導老師:黃怡瑾老師 組員:喻紹嫻、蔡穎邵、邱韋竣、 吳燿明、陳俊宏、林雅婷
第3课 收复新疆.
第十一单元 第24讲   第十一单元 世界经济的全球化趋势.
大学生常见心理问题 及其原因分析 09本日2班.
全 国 教 育 经 费 统 计 报 表 填表说明及指标解释 2011年12月 主讲人:焦叶飞 去除PPT模板上的--无忧PPT整理发布的文字
菜市場巡禮 四財三A 4980S030 王靖智 4980S070 陳婉莉 4980S071 蘇可芸 4980S075 陳惠卿
♔乙武洋匡♔.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
便民办税春风行动 ——税收优惠辅导培训 上杭县国家税务局纳税人学校 二0一四年九月 去除PPT模板上的--无忧PPT整理发布的文字
性別平權教育的實施 輔一乙 第一組 謝筱柔-上台報告 李竹萱-上台報告 張瓊心- ppt製作
第4章 VHDL设计初步.
主題:轉換時刻 班 級:四進幼保三A 指導教授:葉雅惠 老師 組 別:第一組 組 員: 劉婉瑜 王美能
9.1 抽签的方法合理吗.
婚姻與家庭-田野調查 音樂二 第一組 S 沈蝶衣(組長) S 林長欣 S 余俐瑾
做最好的自己 ——七(6)班主题班会.
2015学年第一学期 探究型课程专题培训 社会实践阅读领航 教育处
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
2012版中考二轮复习历史精品课件北师大版 (含2011中考真题) 专题五世界近代史
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
使用VHDL設計--Moore Machine
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
數位邏輯設計 VHDL.
美麗的西子湖.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
专题八 欧美代议制的确立与发展 (17—19世纪) 英    美 法 德 选修:日本 俄国.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計—向上&向下計數器 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 程式 實驗結果 參考資料

目的 題目一: 1. 使用VHDL設計一個向上計數器電路 此電路有RESET功能 RESET時計數器輸出為"0000" CLOCK輸入後向上計數 學號尾數為0與5者做除11 學號尾數為1與6者做除12 學號尾數為2與7者做除13 學號尾數為3與8者做除14 學號尾數為4與9者做除15 2. 將電路加以模擬 3. 將程式燒錄到IC執行 4. 將以上原理撰寫成PPT格式報告交出 5. 將以上原理與操作過程講述一便並錄製成影音檔交出

題目二: 1. 使用VHDL設計一個BCD向下計數器電路 此電路有RESET功能 RESET時計數器輸出為BCD 99="10011001" CLOCK輸入後向下計數 2. 將電路加以模擬 3. 將程式燒錄到IC執行 4. 將以上原理撰寫成PPT格式報告交出 5. 將以上原理與操作過程講述一便並錄製成影音檔交出

設計原理 計數器主要可分為向上&向下計數器。 5 5 4 1 4 1 3 2 3 2 向上計數器 向下計數器

程式(1) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mode14 is --電路內部要做的電路名稱 port( --接腳 reset,clock: in std_logic; --輸入腳為reset,clock op : buffer std_logic_vector(3 downto 0) --op為緩衝儲存器,內部有四個位元 ); end;

architecture behav of mode14 is --電路內部結構 begin process(reset,clock,op) --處理影響內部的輸入訊號reset,clock,op if(reset='0') then op<="0000"; --當reset='0'時,"0000"會搬入op,從0開始計數 else if(clock'event and clock='1')then --判別clock此訊號是否由'0'--->'1'的上昇緣 if(op="1101")then op<="0000"; --判別計數器輸出是否已經是'13',則設定輸出由'0'開始 else op<=op+'1'; --不是,則計數器輸出繼續加'1' end if; --結束 if 程式 end if; --結束 if 程式 end if; --結束 if 程式 end process; --結束 process 程式 end behav; --程式結束

程式(2) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mode99 is --電路內部要做的電路名稱 port( --接腳 reset,clock: in std_logic; --輸入腳為reset,clock op: buffer std_logic_vector(7 downto 0) --op為緩衝儲存器,內部有八個位元 ); end;

architecture behav of mode99 is --電路內部結構 begin process(reset,clock,op) --處理影響內部的輸入訊號reset,clock,op if(reset='0') then op<="10011001"; --當reset='0'時,"10011001"會搬入op,從99開始向下計數 else if(clock'event and clock='1')then --判別clock此訊號是否由'0'--->'1'的上昇緣 if (op(3 downto 0)="0000")then op(3 downto 0)<="1001"; --判別計數器前四位元輸出是否已經是'0',則設定輸出由'9'開始向下計數 if (op(7 downto 4)="0000")then op(7 downto 4)<="1001"; --判別計數器後四位元輸出是否已經是'0',則設定輸出由'9'開始向下計數 else op(7 downto 4)<=op(7 downto 4)-'1'; --不是,則計數器後四位元輸出繼續減'1',向下計數 end if; --結束 if 程式 else op(3 downto 0)<=op(3 downto 0)-'1'; --不是,則計數器前四位元輸出繼續減'1',向下計數 end if; --結束 if 程式 end if; --結束 if 程式 end if; --結束 if 程式 end process; --結束 process 程式 end behav; --程式結束

實驗結果(1)--向上計數器電路 當我們reset為‘1’時,按下clock鍵,輸出會顯示為“0001”,向上開始計數。

實驗結果(2) 當我們reset為‘1’時,按下clock鍵,輸出會顯示為“1001”,向上開始計數。

實驗結果(3)--向下計數器電路 當我們reset為‘1’時,按下clock鍵,輸出會顯示為“10011001”,向下開始計數。

實驗結果(4) 當我們reset為‘1’時,按下clock鍵,輸出會顯示為“10010000”,向下開始計數。

參考資料 主要的資料內容是參考王志湖老師上課所教授的內容及“數位邏輯”這本書。

END