陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日

Slides:



Advertisements
Similar presentations
彰化縣和美鎮 和仁國民小學 本土語言教育暨 台灣母語日訪視 簡 報. 一. 學校概況 校地面積 校地面積廣達三公頃 學生活動空間寬廣!
Advertisements

與櫻花有約 櫻花開放時間 櫻花前線 賞花便當 京都機場(附近) 夜櫻 哲學之道.
现代农业创业指导 广西省兴安县农广校.
美洲集团散拼项目分享 李维迪.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
Chapter 5 Sequential Logic Circuit
Combinational Logic 組合邏輯
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
陳慶瀚 MIAT嵌入式系統實驗室 國立中央大學資工系 2009年11月12日
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
2-3 基本數位邏輯處理※.
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
義守大學電機工程學系 陳慶瀚 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第13章 时序电路分析 2018/12/5 逻辑设计基础.
第14章 其它DSP设计库 14.1 总线控制库 14.2 复数信号库 14.3 Gates库 14.4 状态机函数库
5-8 光遮斷器控制實習.
Programmable Logic Architecture Verilog HDL FPGA Design
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
时序电路设计 刘鹏 浙江大学信息与电子工程系 Apr. 24, 2011 EE141
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
網路安全技術 OSI七層 學生:A 郭瀝婷 指導教授:梁明章.
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
義守大學電機工程學系 陳慶瀚 第3章 VHDL Concurrent語法 義守大學電機工程學系 陳慶瀚
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
義守大學電機工程學系 陳慶瀚 第4章 VHDL Sequential語法 義守大學電機工程學系 陳慶瀚
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
第七單元 正反器 (教科書第四章) 數位系統實驗
Working Model 2D 朝陽科技大學 工業設計系 邱相文.
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
其他 ER 相關觀念 以及OO模型 國立中央大學 資訊管理系 范錚強 2002 中央大學。范錚強.
期末考.
设计示例一 用门级结构描述D触发器:.
數位邏輯設計 VHDL.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
一、簡介 電腦硬體設計:純硬體電路(hardware)及韌體電 路(firmware)兩種方式。
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月1日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日 A1-Discrete-Event System Modeling Grafcet離散事件系統建模 陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日

Discrete Event System(DES) 包含大量的輸入輸出變數和複雜的hybrid sequential和concurrent events的邏輯系統 一個良好的離散事件建模必須滿足: (1)能描述出離散事件系統的大量且連續的狀態。 (2)必須考慮同時發生情況,且能以簡單明瞭的方式表達。 (3)通常一個系統的狀態只會受到幾個輸入的影響,且只有一些輸出被改變,因此只描述因輸入的改變而產生的行為。 (4)可以清楚的瞭解輸入與輸出的行為

Grafcet Grafcet是由Petri nets 發展而來,用來描述連續行為與同時發生情況的一種圖形化模型。GRAFCET表示法,其最初規格是結合學界和工業界的法國團體所定義出來的,並在1987年成為國際標準。在80年代,GRAFCET多使用在事務性機器(OA產品)與製造系統,如自動化元件之控制器,將離散事件控制器設計在可程式控制器(PLC)上;在90年代後期,開始運用在資訊系統設計。GRAFCET可使用在工業製造系統的順序控制規格描述,能夠很簡潔明瞭的表示多輸入與多輸出的同步動作,簡化離散控制邏輯使其易於處理。

Grafcet的基本構件 步驟(Step) 轉移條件(Transition) 有向性的連結(Directed Connection)

Grafcet的基本構件

Firing rule for Grafcet

Concurrent/Branching Structure in Grafcet

Hierarchical Structure Sub-GRAFCET

State Transition

Concurrent States Transition

Branching States Transition

Branching States Transition

Algorithmic Level Discrete-Event Modeling 善用平行架構,加速硬體電路運算效能。 所有狀態的轉移必須清楚明確,不可產生永遠不會動作及永遠滯留的狀態。 避免對變數同時做判斷及運算動作,以減少電路運算結果錯誤。 整個流程結束後,必須回到初始狀態等待下ㄧ次的觸發。

Discrete-Event Modeling Example 1 整理系統的動作和條件變數 描述系統的動作流程

Discrete-Event Modeling Example 1

Discrete-Event Modeling Example 2

Discrete-Event Modeling Example 2

Example: 3-bit Gray Code Generator

GRAFCET of 3-bit Gray Code Generator

Exercise : Traffic Light Controller

FSM of Traffic Light Controller

GRAFCET of Traffic Light Controller

Exercise :計數器建模 有一個計數器規格如下: ─計數器初始值由0開始往上數,每次加1 ─計數器到達100後,開始往下數,每次減2 ─計數器往下數達到50後,再度往上數,每次加1,如此構成循環 ─任意時刻reset訊號為1,計數器都將被重設為設0 請以GRAFCET建立此一離散事件模型

Exercise : Signal Generator We want to design a circuit that, from a clock signal clk, gives origin to the signal output shown as: To model the discrete-event system of the signal generator using GRAFCET

Exercise:步進馬達的控制器建模 一個兩相的步進馬達的控制方式如下, 例如要令馬達順時針轉動,須持續送出1100, 0110,0011,1001, 1010,0101訊號;若要令馬達逆時針轉動,則須送出0101,1010,1001, 0011, 0110, 1100訊號。 時序 馬達輸入訊號 轉動方向 S3 S2 S1 S0 順時 針 逆時針 1 2 3 4 5 6

Exercise :步進馬達的控制器建模 控制器規格如下: Input : START(馬達啟動), DIR(馬達轉動方向) Output : S3,S2,S1,S0(馬達輸入訊號) 請用GRAFCET設計控制器離散事件模型。

Hardware Synthesis Using VHDL

VHDL synthesis of Grafcet Fundamental Block

VHDL synthesis of Grafcet Convergence AND

VHDL synthesis of Grafcet Divergence AND

VHDL synthesis of Grafcet Convergence OR

VHDL synthesis of Grafcet Divergence OR

Complete Synthesis of Grafcet Model

Synthesis of Grafcet Controller

VHDL code of Grafcet Controller

VHDL synthesis of Grafcet Controller

VHDL synthesis of Grafcet Controller architecture miat of g0 is signal s0,s1,s2,s3,s4 : std_logic; begin process(clk,rst) if rst='0' then s0<='1'; s1<='0'; s2<='0'; s3<='0'; s4<='0'; elsif clk'event and clk='1' then if s0='1' and OK='1' then s0<='0'; s1<='1'; elsif s1='1' and L1='1' then s1<=‘0’; s2<=‘1’; s3<=‘1’; elsif s2='1' and s3='1' and L2='1' then s2<=‘0’; s3<=‘0’; s4<=‘1’; elsif s4='1' then s4<=‘0’; s0<=‘1’; end if; end process; V1<=s1; Start_M<=s2; V2<=s3; Stop_M<=s4; END miat; library ieee; use IEEE.STD_LOGIC_1164.all;   entity g0 is port( clk : in std_logic; rst : in std_logic; OK : in std_logic; L1,L2 : in std_logic; V1,V2 : out std_logic; Start_M : out std_logic; Stop_M : out std_logic ); end g0;

Synthesized Circuit Block Diagram

Simulated Timing Diagram