第6章 FPGA的下载配置电路设计.

Slides:



Advertisements
Similar presentations
2 、 5 倍数的特征 学习目标 1. 掌握 2 、 5 倍数的特征,能判 断一个数是否是 2 、 5 的倍数。 2. 理解奇数和偶数的意义,正 确判断一个数是奇数还是偶数。
Advertisements

中外领导力 的 跨文化 比较分析 主讲人:. 壹 领导力理论 中国古代 “ 修身、齐家、治国、平天下 ” —— 孔子(儒家思想 ) 庄子(道家学派) 老子(道家学派)
頭皮的健康與診斷 頭皮保養的目的 乾性頭皮的產生原因及處理 油性頭皮的產生原因及處理 植物精油芳香療法的認識與應用 第 3 章 頭皮部位的處理 ………………………………………………………………………….…
窮人與富人的決定性差異 書名: 窮人與富人的距離 0.05mm 作者:張禮文出版社:海鴿. 窮人與富人的決定性差異 窮人和富人的關鍵差異不在口袋金錢的多寡,而 在腦袋。這本書將全面解開窮人之所以貧窮,而 富人之所以富裕的所有奧秘。 窮人和富人的關鍵差異不在口袋金錢的多寡,而 在腦袋。這本書將全面解開窮人之所以貧窮,而.
一、企业基本情况介绍 (一)企业简介 无锡市雷华科技有限公司成立于 2002 年 12 月,为中国航空工业集团公司雷华电子技术研 究所( 607 所)所属全资企业。公司位于美丽 的太湖之滨 -- 江苏无锡,公司北依江南名校 — 江南大学、长广溪湿地公园,南临商业文化中 心万达城。交通便利,距地铁口步行.
一、研究背景 植物组培育细胞培养源于 19 世纪后半 叶,当时植物细胞全能性的概念还没有 完全确定。人们便对此进行研究。 目前,植物组培已经变成了一种常规 的技术,广泛应用于植物的脱毒,快繁 ,基因工程,一串研究,次生代谢物质 生产,工厂化育苗等多方面。
大学生入党积极分子培训教材 主编:蔡中华 曹培强.
水痘.
SOPC技术 数字逻辑电路 主讲:刘昌华 嵌入式技术研究所
FPGA设计与应用 大连理工大学软件学院 王洁 2011年春季.
第1章 电子系统设计训练.
29.2 三视图.
第五章 话语的语用意义(上) 主讲人:周明强.
第二章營建規劃施工與管理 營建工程過程不外乎規劃、設計、施工、管理等。
國立金門高級農工職業學校 水產養殖科 游育霖
学习情境三 桥梁下部结构的构造与施工 桥梁墩台的构造.
机关公文基础知识 黄晓璐.
眼睛的守護者.
4.5 实时调度算法 实时调度是为了完成实时处理任务而分配计算机处理器的调度方法。实时处理任务要求计算机在用户允许的时限范围内给出计算机的响应信号。 实时处理任务可分为 硬实时任务(hard real-time task) 软实时任务(soft real-time task)。 其中,前者要求计算机系统必须在用户给定的时限内完成,后者允许计算机系统在用户给定的时限左右处理完毕。
主办:泰兴市质量强市领导小组办公室 承办:泰 兴 市 市 场 监 督 管 理 局.
EDA技术 第9章 FPGA硬件设计.

第三节 渐开线圆柱齿轮精度等级及应用.
台灣廢物物處理機構 邱騰煥 8 號.
造成近視的原因 一、外在環境:佔百分之七十 1. 飲食失調: __偏食引起。 __甜食過多。 __酸鹼度不平衡。  人體是弱鹼性,攝取過多酸性食物,也會近視。
第2章 FPGA/CPLD结构与应用.
水土保持工程施工階段監造管理之探討 授課老師:林俐玲 教授 指導老師:陳文福 教授 報告人: 顏廣智 學 號:
焦化电气设备维护管理 山西省焦炭集团龙源园区授课
江苏如皋钢铁有限公司 行车司机、起重司索指挥人员安全知识培训 部门(单位)名称:安环部 李雄飞
汽车维修基础 锉削的操作方法 制作人:庹鉴.
钳加工技术 广西玉林高级技工学校|数控教研组.
BIOS设置.
4 家具与室内陈设设计 本章提要 本章主要介绍人体工学、家具与室内陈设设计的基本知识及其内涵。其中包括人体工学概述,家具的类型,家具在室内空间环境中的作用,家具的选用与布置,室内陈设的意义、作用和分类,室内陈设的选择与布置,以及常见空间陈设品的应用等内容。
做最好的自己 ——七(6)班主题班会.
1.4 民用建筑的构造组成 1、基础 2、墙体和柱 3、屋顶 4、楼地层 5、楼梯 6、门窗 次要组成部分(阳台、雨蓬、台阶、散水等)
《生活与哲学》第一轮复习 第七课唯物辩证法的联系观.
楼层与地层 水平分隔建筑空间的构件,楼层分隔上下空间,地层分隔底层空间并与土壤直接相连。 楼层的结构层为楼板,地层的结构层为垫层。
騎乘單車如何配速 桃園縣攝影藝術協會 鐵馬車隊 鄭育宏 製作 1/12.
ALTERA FLEX10K / FLEX10KE Emulation Board 使用說明書.
AKA Embedded 开放实验室系列普及讲座之一 FPGA/CPLD的应用和开发简介
第1章 FPGA概述 1.1 FPGA的发展历程 1.2 FPGA的基本原理 1.3 FPGA的设计方法 1.4 FPGA的设计流程
Chapter 06 Design Implementation Part I
FIFO 与 UPP 本节我们结合UPP接口给大家介绍一下FIFO在实际工程中的使用。 广州创龙电子科技有限公司 广州创龙电子科技有限公司
FIFO 与 UPP 本节我们结合UPP接口给大家介绍一下FIFO在实际工程中的使用。 广州创龙电子科技有限公司 01 51ele.net
S3c2410电路设计(以北京博创s3c2410-s实验箱为例讲解)
浅谈基于FPGA的电路设计 报告人:吴爱平 2005/11/13
可编程逻辑器件及ASIC简介.
C H A P T E R 8 体系结构对系统开发的支持.
EDA原理及应用 何宾
第 9 章 存储器和可编程逻辑器件 9.1 半导体存储器 9.2 可编程逻辑器件.
可编程器件与电子设计自动化 上海交通大学电工及电子技术实验中心 一九九九年三月.
EDA 原理及应用 何宾
大亚湾中微子实验二期工程RPC探测器读出电子学初步方案
本章小结: 可编程逻辑器件(Programmable Logic Device,简称为 PLD)是目前数字系统设计的主要硬件基础。现场可编程门阵列 FPGA(Field Programmable Gate Array)现场可编程门阵列FPGA在结构上由逻辑功能块排列为阵列,功能由逻辑结构的配置数据决定,配置数据可以存放在片外的EPROM或其他存储体上,人们可以控制加载过程,在现场修改器件的逻辑功能。
JTAG INTERFACE SRAM TESTER WITH C-LCM
EDA 第二章 可编程逻辑器件.
中国的降水.
第2章ARM/THUMB微处理器结构及指令系统
XILINX FPGA Download Programming
2012慈濟大學18週年校慶運動會 裁判研習 體育教學中心 張木山 教授.
2003/04下學期 六年級數學科 速率 關兆良.
第三章 世界文明的蛻變與互動 第一節 歐洲社會的蛻變 第二節 世界文明的交匯 第三節 亞洲大帝國的發展 1.
生命教育 媒材應用分享 電影 天外奇蹟(UP) 華盛頓高中 巫孟容.
实验一 原子发射光谱定性半定量分析 一、概述 二、仪器装置 三、实验步骤.
鉗 工.
第八章 建筑物的防潮 防水构造.
多姿多彩的世界.
第18章 工业化建筑体系.
轉換成二進位、八進位及十六進位 = ( ) = ( ) = ( )16.
Programmable Logic System Design
Presentation transcript:

第6章 FPGA的下载配置电路设计

内容提要 本章介绍了Xilinx公司的FPGA下载配置模式,Virtex-Ⅱ系列器件下载配置流程、模式和电路设计, Altera公司的下载电缆的结构和下载模式,Altera公司的下载电缆的配置电路设计,Altera公司的配置芯片和配置芯片构成的配置电路设计。

知识要点: 下载配置模式 下载配置流程 下载电缆 配置芯片 配置电路设计

教学建议: 本章的重点是掌握Xilinx公司和Altera公司的FPGA器件的下载配置电路设计。建议学时数为4学时。注意区分Xilinx公司和Altera公司的FPGA器件的下载配置电路设计的不同点。注意同一公司,不同下载模式的下载配置电路的设计也是不同的。注意不同型号的配置芯片使用方法以及配置电路的设计,多个器件配置电路的连接方法。本章给出了一些典型的设计例,学习中可以通过改变器件型号和配置模式,进行配置电路设计的练习,加深对问题的理解。

6.1 Xilinx的FPGA下载配置电路设计 6.1.1 Xilinx FPGA的下载配置模式

1. JTAG模式 JTAG模式是基于 IEEE1149.1和 IEEE1532的下载配置模式,通过TDI(数据输入)、TDO(数据输出)、TMS(测试模式)和TCK(测试时钟)等四根信号线实现FPGA的下载与配置。在JATG模式中需要其他可编程微控制器的支持。

2. Parallel模式 Parallel模式仅支持Virtex系列和SpartanⅡ系列器件,通过8bit的并行数据下载,实现FPGA的高速配置。Parallel模式的配置时钟CCLK由FPGA外部提供。

3. Master Serial模式 Master Serial模式支持Xilinx公司的所有 FPGA产品。Master Serial模式通过读取串行 PROM的数据,实现FPGA的在线配置。在 Master Serial模式中必须使用Xilinx公司专用的 PROM。Master Serial模式的配置时钟 CCLK源于 FPGA内部。

4. Slave Serial模式 Slave Serial模式支持Xilinx公司的所有 FPGA产品。Slave Serial模式类似于 Master Serial模式,但其配置时钟CCLK由FPGA外部提供。在Slave Serial模式中需要其他可编程微控制器支持。

5. Master Select MAP模式 Master Serial MAP模式支持Virtex-Ⅱ等FPGA产品。Master Serial MAP模式通过读取串行 PROM的数据,实现FPGA的在线配置。在 Master Select MAP模式中必须使用Xilinx公司专用的 PROM。Master Serial MAP模式的配置时钟 CCLK源于 FPGA内部。

6. Slave Select MAP模式 Slave Select MAP模式支持Virtex-Ⅱ等FPGA产品。Slave Select MAP模式类似于 Master Serial MAP模式,但其配置时钟CCLK由FPGA外部提供。在Slave Select MAP模式中需要其他可编程微控制器的支持。

6.1.1 Xilinx FPGA的下载配置模式 Xilinx公司提供两种PROM对其FPGA系列产品进行在线配置。其中,XC1800系列PROM可多次擦写,支持JTAG在线编程。XC1700系列PROM为一次性编程器件,不支持JTAG在线编程。使用第三方编程器对Xilinx公司的PROM系列产品进行下载配置时,需要对FPGA设计文件进行格式转换。在同一个FPGA的下载配置电路中,为了满足不同应用要求,可以通过改变FPGA的M2、M1和M0管脚连接,实现FPGA下载配置模式的切换,即利用同一下载配置电路可以实现多种下载配置模式。

在实际应用中,使用嵌入式下载配置方式,可以节约成本和简化PCB板设计。嵌入式下载配置利用微处理器或其他可编程控制器件,对FPGA产品进行下载配置。在嵌入式下载配置过程中,M2、M1、M0引脚端应设置为JTAG、Slave Serial或 Slave Select MAP模式,下载配置的数据可以存放在Xilinx公司专用PROM或其他存储器件中。当M2、M1、M0设置为 Slave Serial模式时,通过控制 PROG_B引脚端,可以实现FPGA的重新配置。当M2、M1、M0设置为 Slave Select MAP模式时,通过控制 PROG_B、RDWR_B和 CS_B引脚端,可以实现FPGA的重新配置和部分配置。

6.1.2 Virtex-Ⅱ系列器件下载配置电路设计 Xilinx公司不同类型的FPGA器件下载配置模式不完全相同, 下面以Virtex-Ⅱ系列器件为例说明Xilinx公司的FPGA的下载配置设计过程。

Virtex-Ⅱ系列器件的下载配置流程 Virtex-Ⅱ系列器件的下载配置流程如图6.1.1所示,主要包括: (1)Power Up(加电) Power Up是Virtex-Ⅱ系列器件的加电过程。其中,内核电压VCCINT=1.5V,I/OBank 4的 VCCO和 VCCAUX的供电电压应大于 1.5V。 (2)Clear Configuration Memory(清配置存储器) 清配置存储器的触发条件是将PROG_B引脚端置低,并保持低电平大于300ns。FPGA的所有与配置无关的引脚端将保持3态,INIT-B和DONE引脚端为低电平。

(3)初始化 将INIT-B引脚端置为高电平,采样模式控制引脚端(Sample Mode Pins),并读入 M2、M1和 M0。如果在初始化过程中保持INIT-B为低电平,可以延迟配置数据的下载过程。Master Serial/Master Select MAP CCLK Begins(Master Serial/Master Select MAP模式 CCLK 启动)。 (4)Load Configuration Data Frames(下载配置数据) 在配置数据的下载过程中,将对配置数据进行CRC校验(CRC Correct)。如果CRC出现错误,INIT-B引脚端将被重新置为低电平,并终止器件的启动过程。

(5)器件启动(Start -Up) Virtex-Ⅱ系列器件的器件启动顺序可以在软件中改动,其默认的启动顺序是:①释放DONE引脚端;②将GTS置低,激活所有1/O引脚端;③将GWE置位,释放所有的RAM和逻辑单元;④将EOS置位。

图6.1.1 Virtex-Ⅱ系列器件的下载配置流程

Virtex-Ⅱ系列器件下载配置模式设置 Virtex-Ⅱ系列器件支持“Master Serial Programming Mode”、“Master SelectMAP Programming Mode”“Slave Serial Programming Mode”“Slave SelectMAP Programming Mode”“JTAG/ Boundary Scan Programming Mode”。设置Virtex-Ⅱ系列器件的M2、M1、M0引脚端状态,可以确定下载配置模式,如表6.1.1所示。

表6.1.2 Virtex-Ⅱ系列器件与下载配置电路有关的引脚端

3. Virtex-Ⅱ系列器件的下载配置电路设计 在Virtex-Ⅱ系列器件中与下载配置电路有关的引脚端如表6.1.2所示。应注意的是: Virtex-Ⅱ系列器件中与下载配置有关的引脚端,有一部分是专用引脚端,另一部分是可以作为用户1/O的复用引脚端。考虑到设计的稳定性,建议不使用这部分复用引脚端。

(2)Virtex-Ⅱ加电要求 为保证Virtex-Ⅱ系列器件的正常加电,Xilinx公司在Virtex-Ⅱ数据手册中规定: ①VCCINT、VCCAUX和 Vcco的加电过程既不应快于1ms,也不应慢于 50ms。 ②Virtex-Ⅱ加电过程中的最小电流要求不同型号是不同的,设计时需要根据具体的型号设计下载配置电路。 ③VCCINT、VCCAUX和 Vcco的加电顺序没有具体要求。一般,在保证VCCINT和 Vcco的电气参数情况下,采用先VCCINT后Vcco的加电顺序,将提高FPGA系统的使用稳定性。

(3)Virtex-Ⅱ下载配置电路设计 设计过程中,首先应该熟悉下载配置引脚端和Virtex-Ⅱ加电要求,然后依据选定的下载配置模式进行下载配置电路设计。Xilinx公司针对不同类型的FPGA器件提供了相应的下载配置电路,XIlinx公司提供的Virtex-Ⅱ系列器件下载配置电路如图6.1.2~图6.1.9所示。

图6.1.2为使用System ACE™(System Advanced Configuration Environment)配置Virtex-Ⅱ的下载配置电路,电路利用ACE Controller(ACE微控制器)和 ACE CompactFlash完成Virtex-Ⅱ的下载配置。图6.1.3 为使用CPLD和PROM配置Virtex-Ⅱ的下载配置电路图6.1.4 为使用EPROM配置Virtex-Ⅱ的下载配置电路。图6.1.5为 Master Serial Mode 配置电路。图6.1.6 为Master/Slave Serial Mode 配置电路。图6.1.7为 Master SelectMAP Programming Mode配置电路。图6.1.8为 Slave SelectMAP Mode配置电路。图6.1.9为 JTAG Mode配置电路。

图6.1.2 使用System ACE™配置Virtex-Ⅱ的下载配置电路

图6.1.3 使用CPLD和PROM配置Virtex-Ⅱ的下载配置电路

图6.1.4 使用EPROM配置Virtex-Ⅱ的下载配置电路

图6.1.5 Master Serial Mode 配置电路

图6.1.6 Master/Slave Serial Mode 配置电路

图6.1.7 Master SelectMAP Mode配置电路

图6.1.8 Slave SelectMAP Mode配置电路

图6.1.9 JTAG Mode配置电路