使用VHDL設計--Moore Machine

Slides:



Advertisements
Similar presentations
柳丁 — 加工篇 組員 : 賴緯杰、賴苗家、吳 玠穎、鐘尹敏. 柳丁的產地  台灣特產的柳丁從台南、嘉 義、雲林、南投都有耕種, 其中雲林古坑的柳丁占總產 量三分之ㄧ,是最大的產區, 可號稱為「柳橙之鄉」。
Advertisements

陳旺全醫師主講 健康養生茶飲 明目菊花茶 明目菊花茶 成分:菊花五錢、 500c.c 熱水沖泡 成分:菊花五錢、 500c.c 熱水沖泡 功效:可治療急慢性結膜炎、頭暈 功效:可治療急慢性結膜炎、頭暈 頭痛、口苦、口乾、高血壓 頭痛、口苦、口乾、高血壓.
台北市立南港高工 建築科 Architecture 建築科之精神:活力、責任、效率 建築科之展望:共築美麗人生 綜合高中 土木建築學程簡介  綜高土建升學進路  未來出路展望  專業證照考試  未來展望圖例  綜高土建學程課程架構  土建群統一入學測驗考科  升學人數統計.
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
治癒肺癌 的妙方.
我征服了黃山 林達的黃山之旅 2006春.
男性生殖系統.
萬聖節快樂 主任糖果!糖果! 萬聖節快樂.
彰化縣教師會 導護問題知多少? 理事長:許麗芳老師 報告人:廖銘潭老師   .
第一章信託法 第一節 信託契約 第二節 信託財產 第三節 受益人 第四節 受託人 第五節 信託關係之消滅.
指導老師:楊淑娥 組別:第一組 成員:劉怡萱4a0i0066 吳珮瑜4a0i0070 林秋如4a0i0075 陳婉婷4a0i0076
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
歡 迎 各位視光界精英 蒞 臨 元培視光系 103校外學分班說明會.
做最好的自己 ——七(6)班主题班会.
第八組 組員:07黃佩瑄 13吳姿毅 14葉芷芸 26黃欣蓮 34林思妤 48潘婷蓉
第6章 VHDL设计应用实例 6.1 8位加法器的设计 6.3 序列检测器的设计 6.4 正负脉宽数控调制信号发生器的设计
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
第14章 其它DSP设计库 14.1 总线控制库 14.2 复数信号库 14.3 Gates库 14.4 状态机函数库
摩擦力.
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
本著作除另有註明外,採取創用CC「姓名標示-非商業性-相同方式分享」台灣2.5版授權釋出
小太陽兒童人文藝術學院兒童畫展 地點:住院大樓9F、11F外走道( )
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
團體衛生教育護理創意競賽 報告者:護理科 計畫主持人邱馨誼講師
數位邏輯設計 VHDL.
大圓小圓展風貌 ─圓面積 製作者:蔡怡真.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
霧台--魯凱族祕境.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
轉換成二進位、八進位及十六進位 = ( ) = ( ) = ( )16.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計--Moore Machine 通訊ㄧ甲 B09622048 楊穎穆

目錄 目的 設計原理 程式 實驗結果 參考資料

目的 試用VHDL設計一Moore Machine 需求: 1. 有一輸入reset, x及同步脈波Clock,輸出為3位元的Y

設計原理 Moore Machine:輸出之狀態在狀態穩定時 當x輸入為‘1’時,y輸出值為上一狀態y值加‘1’ X clock cc FF cc y 正反器 新狀態組合電路 輸出組合電路 reset

設計原理 狀態變遷圖 S0 00 X=1 X=1 X=0 X=0 S1 01 S3 11 X=0 X=0 X=1 X=1 S2 10

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity moore is --ic內部要做的電路名稱 port( --接腳 clock,reset,x:in std_logic; --輸入腳位clock,reset,x y :out std_logic_vector(2 downto 0) --輸出腳位 y,且內部有三個位元數 ); end; architecture behav of moore is --電路內部結構 type state is(s0,s1,s2,s3,s4,s5,s6,s7); signal ps,ns: state;

begin sq:process(reset,ps) --處理內部要處理的輸入訊號 if(reset=‘0’)then --當reset=‘0’時,則進行狀態初始化 ns<=s0; --設定初始狀態 y<=“000”; --輸出設定為“000” else case ps is --利用case…is寫法來做狀態處理 when s0=>y<=“000”; --當目前狀態是s0時,輸出為“000” if x=‘1’ then ns<=s1; --如果x=‘1’,則下一狀態是s1 else ns<=s7; --否則下一狀態是s7 end if; when s1=>y<="001"; --當目前狀態是s1時,輸出為“001” if x='1' then ns<=s2; --如果x=‘1’,則下一狀態是s2 else ns<=s0; --否則下一狀態是s0 when s2=>y<="010"; --當目前狀態是s2時,輸出為“010” if x='1' then ns<=s3; --如果x=‘1’,則下一狀態是s3 else ns<=s1; --否則下一狀態是s1

when s3=>y<="011"; --當目前狀態是s3時,輸出為“011” if x='1' then ns<=s4; --如果x=‘1’,則下一狀態是s4 else ns<=s2; --否則下一狀態是s2 end if; when s4=>y<="100"; --當目前狀態是s4時,輸出為“100” if x='1' then ns<=s5; --如果x=‘1’,則下一狀態是s5 else ns<=s3; --否則下一狀態是s3 when s5=>y<="101"; --當目前狀態是s5時,輸出為“101” if x='1' then ns<=s6; --如果x=‘1’,則下一狀態是s6 else ns<=s4; --否則下一狀態是s4 when s6=>y<="110"; --當目前狀態是s6時,輸出為“110” if x='1' then ns<=s7; --如果x=‘1’,則下一狀態是s7 else ns<=s5; --否則下一狀態是s5 when s7=>y<="111"; --當目前狀態是s7時,輸出為“111” if x='1' then ns<=s0; --如果x=‘1’,則下一狀態是s0 else ns<=s6; --否則下一狀態是s6 end case; end process sq;

clocking:process(clock,ns) --同步脈波處理 begin if (clock'event and clock='1')then --正緣觸發訊號 ps<=ns; --由目前狀態改變到下一狀態 end if; end process clocking; end behav;

實驗結果(1) 如果x=‘0’,目前狀態是s7時,輸出為“111”,則下一狀態是s6。

實驗結果(2) 如果x=‘0’,目前狀態是s3時,輸出為“011”,則下一狀態是s2。

實驗結果(3) 如果x=‘1’,目前狀態是s1時,輸出為“001”,則下一狀態是s2。

實驗結果(4) 如果x=‘1’,目前狀態是s6時,輸出為“110”,則下一狀態是s7。

參考資料 主要的資料來源來至於王志湖老師上課所教授的內容及”數位邏輯”這本書。

END