使用VHDL設計—4位元ALU電路 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
Advertisements

歷史報告 自強運動 指 導 老 師: 盧雨芯 製 作 簡 報: 第三組
組員:何浚壟4990Y031 詹昀蓉4990Y052 林怡汝4990Y053 黃亦雯4990Y055 王宜珺4990Y056
第四章 账户及复式记账的应用 教学目的与要求:本章内容属于会计实务部分。通过本章的教学,使学生掌握制造企业经济业务的核算内容及账务处理,进一步加深对复式记账原理的理解,熟练掌握借贷记账法在制造企业的实际应用。 教学重点:运用借贷记账法对制造企业的经济业务进行账务处理。 教学难点:利润的核算;期末各账户之间的相互结转。
返本归原在课文,精讲多练会高考 ——2012届高三语文复习的几点做法.
派對慶祝 指導老師:黃瑞勤老師 S.3A 組長:葉慧敏(40) 組員:尹國青(30) 麥家欣(26) 利昭雯(16)
学龄前儿童(3-6)行为与社会环境 组长:吾尔克西 讲述人:张紫桓 ppt制作:管吉 熊萌 资料收集:徐琰 李捷羚.
指導老師:黃怡瑾老師 組員:喻紹嫻、蔡穎邵、邱韋竣、 吳燿明、陳俊宏、林雅婷
走過光陰 ── 眷村 三平 2號 何苡瑄.
大学生常见心理问题 及其原因分析 09本日2班.
解放軍論壇 中共信息戰發展 對我國軍事戰略之影響.
菜市場巡禮 四財三A 4980S030 王靖智 4980S070 陳婉莉 4980S071 蘇可芸 4980S075 陳惠卿
地價稅簡介.
♔乙武洋匡♔.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
便民办税春风行动 ——税收优惠辅导培训 上杭县国家税务局纳税人学校 二0一四年九月 去除PPT模板上的--无忧PPT整理发布的文字
专题五 高瞻远瞩 把握未来 ——信息化战争 主讲教师:.
第4章 VHDL设计初步.
主題:轉換時刻 班 級:四進幼保三A 指導教授:葉雅惠 老師 組 別:第一組 組 員: 劉婉瑜 王美能
第十章 现代秘书协调工作.
婚姻與家庭-田野調查 音樂二 第一組 S 沈蝶衣(組長) S 林長欣 S 余俐瑾
生育保险 朝阳社保中心支付部:黄玮.
财税法(上).
2015学年第一学期 探究型课程专题培训 社会实践阅读领航 教育处
《环游西藏》之二 碧玉湖 音乐《白塔》 摄制:C&Y.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
數位邏輯設計 VHDL.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計—4位元ALU電路 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 程式 實驗結果 參考資料

目的 1. 使用VHDL設計一個4位元ALU電路 此電路有A與B輸入各4位元 前一進位Ci 輸出有和S與進位Cy 一些功能選擇線 功能有: Cy_S=A+B+Ci, Cy_S=A-B-Ci, Cy=0 S=A and B, Cy=0 S=A or B, Cy=0 S=A xor B, S_Cy=Ci_A右移1位元, Cy_S= A_Ci左移1位元 2. 將電路加以模擬 3. 將程式燒錄到IC執行 4. 將以上原理撰寫成PPT格式報告交出 5. 將以上原理與操作過程講述一便並錄製成影音檔交出

設計原理 主要是使用4位元算術邏輯單元來設計一個具有多功能的電路。 A B r S 4位元ALU

設計原理 主要包含的功能: S=A and B S=A or B S=A xor B A B S 0 0 0 1 1 0 1 1 1 A B 0 0 0 1 1 0 1 1 1 A B S 0 0 0 1 1 0 1 1 1 A B S 0 0 0 1 1 0 1 1 1 S=A and B S=A or B S=A xor B

設計原理 4位元減法器 4位元加法器 1001 1001 +) 1000 -) 0111 1 0001 +) 1 0010 0101 -) 0111 1001 +) 1000 1 0001 +) 1 0010 4位元減法器 0101 +) 1100 1 0001 4位元加法器

設計原理 1 1 1 1 1 1 位移器(右移) 位移器(左移)

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ALU is --電路內部要做的電路名稱 port( --接腳 a,b :in std_logic_vector(3 downto 0); --輸入腳 a,b,內部各有四各位元數 ci :in std_logic; --輸入腳 ci ,代表前一進位 func :in std_logic_vector(2 downto 0); --輸入腳 func,內部有三個位元數 cy :out std_logic; --輸出腳 cy,代表進位或補數 r :out std_logic_vector(3 downto 0) --輸出腳 r,內部有四個位元數 ); end;

architecture Behav of ALU is --電路內部結構 signal c :std_logic_vector(4 downto 0); --表示 c 內部有五個位元數 begin process(a,b,ci,func,c) --處理影響內部的輸入訊號 a,b,ci,func,c case func is when"000"=> r <="0000" ; cy<='0'; --當func 輸入為"000",則"0000"搬入到 r,'0'搬入cy when"001"=> r <= a and b;cy<='0'; --當func 輸入為"001",則輸入a and b的值會搬入 r,'0'搬入cy when"010"=> r <= a or b; cy<='0'; --當func 輸入為"010",則輸入a or b的值會搬入 r,'0'搬入cy when"011"=> r <= a xor b; cy<='0'; --當func 輸入為"011",則輸入a xor b的值會搬入 r,'0'搬入cy when"100"=> r <= a(2 downto 0)&'0';cy<=a(3); --當func 輸入為"100",則將輸入端的值 a前三個位元後串上'0',並搬入到r, 且a(3)會搬入cy,左移一位元 when"101"=> r <= '0'& a(3 downto 1);cy<=a(0); --當func 輸入為"101",則將輸入端的值 a後三個位元前串上'0',並搬入到r,且a(0)會搬入cy,右移一位元 when"110"=> c(4 downto 0)<=('0'& a)+('0'& b)+("0000"& ci); r<=c(3 downto 0);cy<=c(4); --當func 輸入為"110",則將a,b輸入值前各串一個'0',ci前串上"0000",相加的值搬入到 c when others => c(4 downto 0)<=('0'& a)-('0'& b)-("0000"& ci); --當func 輸入為"111",則將a,b輸入值前各串一個'0',ci前串上"0000",相減的值搬入到 c end case; --結束case程式 end process; --結束process程式 end Behav; --程式結束

實驗結果(1) 當我func輸入為“001” a輸入為“1101”,b輸入為“0111”時,a and b得到的輸出直就會為“0101” 。

實驗結果(2) 當我func輸入為“010” a輸入為“1001”,b輸入為“0010”時,a or b得到的輸出直就會為“1011” 。

實驗結果(3) 當我func輸入為“011” a輸入為“0011”,b輸入為“0101”時,a xor b得到的輸出直就會為“0110” 。

實驗結果(4) 當我func輸入為“100” a輸入為“1101”時,輸出會為”1010”,a(3)會搬入到cy,顯示為‘1’ ,左移一位。

實驗結果(5) 當我func輸入為“101” a輸入為“1001”時,輸出會為”0100”,a(3)會搬入到cy,顯示為‘1’ ,右移一位。

實驗結果(6) 當我func輸入為“110” a輸入為“0011”,b輸入為“0101”,兩者相加得到的值為“1000”。 當我func輸入為“110” a輸入為“0011”,b輸入為“0101”, ci輸入為‘1’時,三者相加得到的值為“1001”。

實驗結果(7) 當我func輸入為“111” a輸入為“1101”,b輸入為“0011”,兩者相減得到的值為“1010”。 當我func輸入為“110” a輸入為“1101”,b輸入為“0011”, ci輸入為‘1’時,三者相減得到的值為“1001”。

參考資料 主要的資料內容是參考王志湖老師上課所教授的內容及“數位邏輯”這本書。

END