使用VHDL設計-XOR_GATE 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
歷史報告 自強運動 指 導 老 師: 盧雨芯 製 作 簡 報: 第三組
Advertisements

組員:何浚壟4990Y031 詹昀蓉4990Y052 林怡汝4990Y053 黃亦雯4990Y055 王宜珺4990Y056
试用期员工述职报告 述职人:***
建筑工程系建筑装饰工程技术专业 建筑设计专业 热烈欢迎新同学入校! 河南工业职业技术学院 建筑工程系.
建筑工程技术 专业介绍 建筑工程系.
学龄前儿童(3-6)行为与社会环境 组长:吾尔克西 讲述人:张紫桓 ppt制作:管吉 熊萌 资料收集:徐琰 李捷羚.
一、平面点集 定义: x、y ---自变量,u ---因变量. 点集 E ---定义域, --- 值域.
指導老師:黃怡瑾老師 組員:喻紹嫻、蔡穎邵、邱韋竣、 吳燿明、陳俊宏、林雅婷
嘉兴学院.
建筑专业介绍 建筑专业介绍 选择建筑专业的七大理由 选择建筑专业的七大理由 建筑专业毕业后的发展前景 建筑专业毕业后的发展前景
大学生常见心理问题 及其原因分析 09本日2班.
管理学基本知识.
滨职学习汇报 ( )    粮油轻工系      石全见.
菜市場巡禮 四財三A 4980S030 王靖智 4980S070 陳婉莉 4980S071 蘇可芸 4980S075 陳惠卿
♔乙武洋匡♔.
滁州学院首届微课程教学设计竞赛 课程名称:高等数学 主讲人:胡贝贝 数学与金融学院.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
便民办税春风行动 ——税收优惠辅导培训 上杭县国家税务局纳税人学校 二0一四年九月 去除PPT模板上的--无忧PPT整理发布的文字
性別平權教育的實施 輔一乙 第一組 謝筱柔-上台報告 李竹萱-上台報告 張瓊心- ppt製作
第4章 VHDL设计初步.
主題:轉換時刻 班 級:四進幼保三A 指導教授:葉雅惠 老師 組 別:第一組 組 員: 劉婉瑜 王美能
婚姻與家庭-田野調查 音樂二 第一組 S 沈蝶衣(組長) S 林長欣 S 余俐瑾
Combinational Logic 組合邏輯
拾貳、 教育行政 一、教育行政的意義 教育行政,可視為國家對教育事務的管理 ,以增進教育效果。 教育行政,乃是一利用有限資源在教育參
2015学年第一学期 探究型课程专题培训 社会实践阅读领航 教育处
課程銜接 九年一貫暫行綱要( )  九年一貫課程綱要( ) 國立台南大學數學教育系 謝 堅.
2.4 二元一次方程组的应用(1).
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計--Moore Machine
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
數位邏輯設計 VHDL.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
用加減消去法解一元二次聯立方程式 台北縣立中山國中 第二團隊.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計-XOR_GATE 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 真值表 程式 實驗結果 參考資料

目的 VHDL發展工具之操作 1. 使用CAD工具及VHDL設計一個位元不相等之電路 2. 將電路加以模擬 3. 將程式燒錄到IC執行 4. 將以上原理撰寫成PPT格式報告交出 5. 將以上原理與操作過程講述一便並錄製成影音檔交出

設計原理 用VHDL設計一個不相等之電路。 若X≠Y則Z=1,否則Z=0。

真值表 X Y Z 1

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity XOR1 is --IC內部要做的電路名稱 port( --接腳 x,y : in std_logic; --x,y為輸入腳 z : out std_logic --z為輸出腳 ); end;

architecture Behav of XOR1 is --電路內部結構 begin process (x,y) --處理x,y輸入的訊號 if x=y then z<=‘0’; --假如輸入的x,y值為相等, 則z輸出的值就為0 else z<=‘1’; --若輸入的值不相等,則輸出的值會等於1 end if; --結束if程式 end process; --結束process程式 end Behav; --程式結束

實驗結果(1) 依照真值表當我們輸入值為“00”時,輸出的值就為’0’,此時燈不亮。 若假如我們輸入的值為“01”時,輸出的值就為‘1’,燈會亮起。

實驗結果(2) 當我們輸入值為“10”時,輸出的值就為’1’,此時燈亮。 若假如我們輸入的值為“11”時,輸出的值就為‘0’,燈不會亮起。

參考資料 ※主要的資料來源來至王志湖老師上課所教授的內容所做成的筆記及”數位邏輯”這本書。

END