第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序

Slides:



Advertisements
Similar presentations
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
Advertisements

《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月2日星期四.
实验四 利用中规模芯片设计时序电路(二).
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
C++中的声音处理 在传统Turbo C环境中,如果想用C语言控制电脑发声,可以用Sound函数。在VC6.6环境中如果想控制电脑发声则采用Beep函数。原型为: Beep(频率,持续时间) , 单位毫秒 暂停程序执行使用Sleep函数 Sleep(持续时间), 单位毫秒 引用这两个函数时,必须包含头文件
第6章 VHDL设计应用实例 6.1 8位加法器的设计 6.3 序列检测器的设计 6.4 正负脉宽数控调制信号发生器的设计
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
VHDL數位電路實習與專題設計 文魁資訊-UE301
第9章 DDS设计 9.1 DDS的基本原理 9.2 DDS的模块设计 9.3 FSK调制器设计.
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
现代电子技术实验 4.11 RC带通滤波器的设计与测试.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
微机原理与接口技术 微机原理与接口技术 朱华贵 2015年11月20日.
第一单元 初识C程序与C程序开发平台搭建 ---观其大略
基于Arduino单片机的简易示波器 Arduino Based Simple Oscilloscope
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
大作业要求 1、按照选题要求设计电路,并仿真、下载,实现电路。 2、撰写综合报告.
时序逻辑电路实验 一、 实验目的 1.熟悉集成计数器的功能和使用方法; 2.利用集成计数器设计任意进制计数器。 二、实验原理
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
实验六 积分器、微分器.
CPU结构和功能.
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
C语言程序设计 主讲教师:陆幼利.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
Three stability circuits analysis with TINA-TI
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
(Random Access Memory)
數位邏輯設計 VHDL.
实验三 16位算术逻辑运算实验 不带进位控制的算术运算 置AR=1: 设置开关CN 1 不带进位 0 带进位运算;
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
长春理工大学 电工电子实验教学中心 数字电路实验 数字电路实验室.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
魏新宇 MATLAB/Simulink 与控制系统仿真 魏新宇
HSC高速输出例程 HORNER APG.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
工业机器人知识要点解析 (ABB机器人) 主讲人:王老师
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
时序运算模块的VHDL设计 时序电路的结构与特点 内部含有存储器件(触发器、锁存器); 信号变化受时钟控制; 通常采用状态变化进行描述;
信号发生电路 -非正弦波发生电路.
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序 第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序 11.4 系统仿真/硬件验证 11.5 设计技巧分析 11.6 系统扩展思路

11.1 系统设计要求 1971年,美国学者J.Tierncy、C.M.Reader和B.Gold提出了以全数字技术从相位概念出发直接合成所需波形的一种新的频率合成原理。随着技术和水平的提高,一种新的频率合成技术——直接数字频率合成(DDS,Direct Digtal Synthesis)技术得到了飞速发展。

DDS技术是一种把一系列数字形式的信号通过DAC转换成模拟形式的信号合成技术,目前使用最广泛的一种DDS方式是利用高速存储器作查找表,然后通过高速DAC输出已经用数字形式存入的正弦波。

DDS技术具有频率切换时间短(<20 ns),频率分辨率高(0 DDS技术具有频率切换时间短(<20 ns),频率分辨率高(0.01 Hz),频率稳定度高,输出信号的频率和相位可以快速程控切换,输出相位可连续,可编程以及灵活性大等优点,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。DDS广泛用于接受机本振、信号发生器、仪器、通信系统、雷达系统等,尤其适合跳频无线通信系统。

11.2 系统设计方案 11.2.1 DDS的工作原理 图11.1是DDS的基本原理图,频率控制字M和相位控制字分别控制DDS输出正(余)弦波的频率和相位。DDS系统的核心是相位累加器,它由一个累加器和一个N位相位寄存器组成。每来一个时钟脉冲,相位寄存器以步长M增加。

图11.1 DDS基本原理图

11.2.2 DDS的FPGA实现设计 根据图11.1,并假定相位控制字为0,这时DDS的核心部分相位累加器的FPGA的设计可分为如下几个模块:相位累加器SUM99、相位寄存器REG1、正弦查找表ROM和输出数据寄存器REG2,其内部组成框图如图11.2所示。图中,输入信号有时钟输入CLK,使能端EN,复位端RESET,频率控制字K,输出信号为Q。

图11.2 DDS内部组成框图

首先利用MATLAB或C语言编程对正弦函数进行采样;然后对采样数据进行二进制转换,其结果作为查找表地址的数值。

CLEAR TIC; T=2*PI/1024; t=[0:T:2*pi]; y=255*sin(t); round(y); 用C语言编写的正弦函数数据采样程序如下: #include "stdio.h" #include "math.h" Main( ) { int I; Float s;

For ( i=0;i<1024;i++) {s=sin(actan(1)*8*i/1024); Printf(" %d,%d; \n", (int)((s+1)*1023/2)); } 两个程序运行之后所得结果是一致的。

11.3 主要VHDL源程序 11.3.1 相位累加器SUM99的VHDL源程序 --SUM99.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SUM99 IS PORT(K: IN STD_LOGIC_VECTOR(9 DOWNTO 0);

CLK: IN STD_LOGIC; EN: IN STD_LOGIC; RESET: IN STD_LOGIC; OUT1: OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END ENTITY SUM99; ARCHITECTURE ART OF SUM99 IS SIGNAL TEMP: STD_LOGIC_VECTOR(9 DOWNTO 0); BEGIN PROCESS(CLK, EN, RESET) IS

IF RESET='1'THEN TEMP<="0000000000"; ELSE IF CLK'EVENT AND CLK='1'THEN IF EN='1' THEN TEMP<=TEMP+K; END IF; OUT1<=TEMP; END PROCESS; END ARCHITECTURE ART;

11.3.2 相位寄存器REG1的VHDL源程序 --REG1.VHD (REG2.VHD与REG1.VHD相似) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY REG1 IS PORT(D: IN STD_LOGIC_VECTOR(9 DOWNTO 0); CLK: IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END ENTITY REG1;

ARCHITECTURE ART OF REG1 IS BEGIN PROCESS(CLK) IS IF(CLK'EVENT AND CLK='1')THEN Q<=D; END IF; END PROCESS; END ARCHITECTURE ART;

11.3.3 正弦查找表ROM的VHDL源程序 --ROM.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ROM IS PORT (ADDR:IN STD_LOGIC_VECTOR (9 DOWNTO 0); CLK:IN STD_LOGIC; OUTP:OUT SIGNED (8 DOWNTO 0));

END ENTITY ROM; ARCHITECTURE ART OF ROM IS BEGIN PROCESS (CLK) IS IF (CLK'EVENT AND CLK='1')THEN CASE ADDR IS WHEN "0000000000"=>OUTP<="000000000"; WHEN "0000000001"=>OUTP<="000000010"; WHEN "0000000010"=>OUTP<="000000011"; WHEN "0000000011"=>OUTP<="000000101";

WHEN "0000000100"=>OUTP<="000000110"; WHEN "0000000101"=>OUTP<="000001000"; WHEN "0000000110"=>OUTP<="000001001"; WHEN "0000000111"=>OUTP<="000001011"; WHEN "0000001000"=>OUTP<="000001101"; WHEN "0000001001"=>OUTP<="000001110"; WHEN "0000001010"=>OUTP<="000010000";   WHEN "1111101001"=>OUTP<="111011100"; WHEN "1111101010"=>OUTP<="111011110";

WHEN "1111101011"=>OUTP<="111011111"; WHEN "1111101100"=>OUTP<="111100001"; WHEN "1111101101"=>OUTP<="111100010"; WHEN "1111101110"=>OUTP<="111100100"; WHEN "1111101111"=>OUTP<="111100101"; WHEN "1111110000"=>OUTP<="111100111"; WHEN "1111110001"=>OUTP<="111101001"; WHEN "1111110010"=>OUTP<="111101010"; WHEN "1111110011"=>OUTP<="111101100"; WHEN "1111110100"=>OUTP<="111101101"; WHEN "1111110101"=>OUTP<="111101111"; WHEN "1111110110"=>OUTP<="111110000";

WHEN "1111110111"=>OUTP<="111110010"; WHEN "1111111000"=>OUTP<="111110011"; WHEN "1111111001"=>OUTP<="111110101"; WHEN "1111111010"=>OUTP<="111110111"; WHEN "1111111011"=>OUTP<="111111010"; WHEN "1111111100"=>OUTP<="111111011"; WHEN "1111111101"=>OUTP<="111111101"; WHEN "1111111110"=>OUTP<="111111110"; WHEN "1111111111"=>OUTP<="000000000";

WHEN OTHERS=>OUTP<="000000000"; END CASE; END IF; END PROCESS; END ARCHITECTURE ART;

11.3.4 系统的整体组装DDS的VHDL源程序 --DDS.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DDS IS PORT(K:IN STD_LOGIC_VECTOR(9 DOWNTO 0); EN:IN STD_LOGIC; RESET:IN STD_LOGIC; CLK:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(8 DOWNTO 0));

END ENTITY DDS; ARCHITECTURE ART OF DDS IS COMPONENT SUM99 IS PORT(K:IN STD_LOGIC_VECTOR(9 DOWNTO 0); EN:IN STD_LOGIC; RESET:IN STD_LOGIC; CLK:IN STD_LOGIC; OUT1:OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END COMPONENT SUM99; COMPONENT REG1 IS

PORT(D:IN STD_LOGIC_VECTOR(9 DOWNTO 0); CLK:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END COMPONENT REG1; COMPONENT ROM IS PORT(CLK:IN STD_LOGIC; ADDR:IN STD_LOGIC_VECTOR(9 DOWNTO 0); OUTP:OUT STD_LOGIC_VECTOR(8 DOWNTO 0)); END COMPONENT ROM;

COMPONENT REG2 IS PORT(D:IN STD_LOGIC_VECTOR(8 DOWNTO 0); CLK:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(8 DOWNTO 0)); END COMPONENT REG2; SIGNAL S1:STD_LOGIC_VECTOR(9 DOWNTO 0); SIGNAL S2:STD_LOGIC_VECTOR(9 DOWNTO 0); SIGNAL S3:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN

U0:SUM99 PORT MAP(K=>K, EN=>EN, RESET=>RESET, CLK=>CLK, OUT1=>S1); U1:REG1 PORT MAP(D=>S1, CLK=>CLK, Q=>S2); U2:ROM PORT MAP(ADDR=>S2, CLK=>CLK, OUTP=>S3); U3:REG2 PORT MAP(D=>S3, CLK=>CLK, Q=>Q); END ARCHITECTURE ART;

11.4 系统仿真/硬件验证 11.4.1 系统的有关仿真 系统的有关仿真如图11.3~11.5所示,请读者自己对仿真结果进行分析。从仿真结果可以看出,对应模块的设计是正确的。

图11.3 相位累加器SUM99的仿真结果

图11.4 正弦查找表ROM仿真结果

图11.5 整个系统DDS的仿真结果

11.4.2 系统的硬件验证 DDS的输入频率控制字K有10位数据,输出数据Q为9位,并且ROM需1024个存储单元,需要占用的系统比较大。但我们所拥有的实验开发系统所配的适配板的资源可能有限,如我们在进行该实验时所用的芯片为ALTERA公司的EP1K30TC144芯片,这时我们直接进行硬件验证会遇到困难。因此我们需要进行变通,想办法进行硬件验证或部分验证。

在本设计的硬件验证过程中,针对实验开发系统所提供的输入、输出资源的限制及芯片逻辑资源的限制,我们采取了如下变通办法: (1) 在DDS的前端增加一个信号发生器,该信号发生器实际就是一个数据锁存器,当控制信号LOCK有效时,将事先设定的频率控制字输出送入相位累加器。设定的频率控制字可在程序中随时修改。该信号发生器的VHDL源程序如下:

--REG0.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY REG0 IS PORT(CLK: IN STD_LOGIC; LOCK:IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END ENTITY REG0; ARCHITECTURE ART OF REG0 IS

BEGIN PROCESS(CLK) IF(CLK'EVENT AND CLK='1')THEN IF LOCK='1' THEN Q<="0000011111"; --此时设定的频率控制字为1FH,可根据需要进行修改 END IF; END PROCESS; END ARCHITECTURE ART;

(2) 由于能够使用的FPGA的芯片EP1K30TC144的逻辑资源不够,因此对DDS内部最占用资源的ROM模块进行了修改。原模块是对一个完整的正弦波进行采样,我们可以只采样正弦波的上半周,这样就可以节省一半的硬件资源。如果只对正弦波的上半周进行采样,那么在进行ROM的设计时,就可以将原来的输入数据由9位变成8位,可以将用来表示符号的最高位省去,这样也可以省去很多的硬件资源。

11.5 设计技巧分析 (1) 在正弦查找表ROM的设计中利用MATLAB或C语言编程对正弦函数进行采样,非常方便地得到了正弦函数的采样数据。 (2) 在本设计的硬件验证过程中,针对实验开发系统所提供的输入、输出资源的限制及芯片逻辑资源的限制,采用了如下变通的办法进行硬件验证:

① 在输入环节加入了一个数据锁存器,用“软设置”代替按键“硬设置”;② 在ROM的验证中,降低了系统的设计规模,减少了系统对逻辑资源的需求。其他类似问题也可参照该方法解决。这在实际的开发设计中,是在硬件验证中最常用也最重要的一种办法。

11.6 系统扩展思路 (1) 根据图11.1,在上述已有设计的基础上,加上相位控制字,将一个具有频率控制和相位控制的DDS的核心部分(相位累加器)重新进行设计和硬件验证:① 使用VHDL程序设计的方法;② 使用参数可设置的LPM兆功能块调用的方式进行设计。

(2) 在用FPGA设计好DDS的核心模块(相位累加器)后,加上DAC电路和LPM电路,构成一个完整的DDS,并用数字示波器等仪器设备进行实际测试,直到达到设计要求为止。