Programmable Logic System Design

Slides:



Advertisements
Similar presentations
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
Advertisements

2011年10月31日是一个令人警醒的日子,世界在10月31日迎来第70亿人口。当日凌晨,成为象征性的全球第70亿名成员之一的婴儿在菲律宾降生。 ?
初级会计实务 第八章 产品成本核算 主讲人:杨菠.
做实验过程中发现的问题: 新建时工程文件夹建立了,但所建实体文件并没存放在其中 文件名不能用汉字
中考阅读 复习备考交流 西安铁一中分校 向连吾.
我国的宗教政策 第七课第三框.
中央广播电视大学开放教育 成本会计(补修)期末复习
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第五章 审计证据与审计工作底稿 主讲:齐鲁光.
人教版义务教育课程标准实验教科书 小学数学四年级上册第七单元《数学广角》 合理安排时间 248.
第4章 VHDL设计初步.
五-4 台灣的生活禮俗 組員:603 15號 黃醴萬 6號 吳家熙 5號 楊証傑 11號 李偉新.
Combinational Logic 組合邏輯
中考语文积累 永宁县教研室 步正军 2015.9.
小学数学知识讲座 应用题.
倒装句之其他句式.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
第1章 FPGA概述 1.1 FPGA的发展历程 1.2 FPGA的基本原理 1.3 FPGA的设计方法 1.4 FPGA的设计流程
第 22 课 孙中山的民主追求 1 .近代变法救国主张的失败教训: “师夷之长技以制 夷”“中体西用”、兴办洋务、变法维新等的失败,使孙中山
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
Chapter 5 Verilog硬體描述語言
Ch01-2 Verilog語法 資料流(DataFlow)設計 行為(Behavior)設計
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
版权所有,禁止未经授权的商业使用行为 何宾 Tel: 北京中教仪装备技术有限公司.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
義守大學電機工程學系 陳慶瀚 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
暴力、草莽、土野、情色、權慾 —華西街的成人童話
陳維魁 博士 儒林圖書公司 第五章 控制結構 陳維魁 博士 儒林圖書公司.
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
義守大學電機工程學系 陳慶瀚 第3章 VHDL Concurrent語法 義守大學電機工程學系 陳慶瀚
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
刑事訴訟法 不受理.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
北投溫泉博物館 建築特色 ★小組成員:高103林孟璇、林念儀、施妤柔★.
或閘的特性與符號 所有的輸入均為0,輸出才為0 ▲ 圖 3-1 或閘的邏輯概念 ▲ 圖 3-2 或閘的電路符號.
數位邏輯設計 VHDL.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
畢氏定理(百牛大祭)的故事 張美玲 製作 資料來源:探索數學的故事(凡異出版社).
第七章 基本逻辑电路设计.
Programmable Logic System Design
102年人事預算編列說明 邁向頂尖大學辦公室製作.
Presentation transcript:

Programmable Logic System Design Lab02- Design Entry with VHDL SOC LAB. 2015.10.14

Lab Description VHDL 程式撰寫入門。 瞭解如何用不同的描述語法去完成一個二位元比較器。 認識 Testbench。

VHDL基本設計觀念 VHDL語言程式之撰寫,可分為兩個部分: 單體(Entity):電路外觀之描述 架構(Architecture):電路內部功能之描述

VHDL Entity(電路外觀之描述) ENTITY 單體名稱 IS PORT ( 訊號A : 模式 資料型別 訊號B : 模式 資料型別 : : : 訊號N : 模式 資料型別 ); End 單體名稱;

語法範例 ENTITY and_2 IS PORT ( a,b :IN STD_LOGIC; y :OUT STD_LOGIC ); END and_2 ; (檔名)

VHDL Structure(電路內部功能的描述) ARCHITECTURE 架構名稱 OF 單體名稱 IS {架構之宣告區} BEGIN {架構描述程式} END 架構名稱;

語法範例 (雙輸入AND閘) ARCHITECTURE dataflow OF and_2 IS BEGIN y <= a AND b; END dataflow;

電路架構描述部分其設計的風格可歸納為三種型式: 1.Behavioral Description (行為描述) 2. Data Flow Description(資料流描述) 3. Structure Descriptions (結構描述)

Behavioral Description(行為描述) 在VHDL 語言中,係使用Process 敘述來描述一個電子電路行為性模型。而它與資料流描述的最大不同點為,在 process 的所描述的行為模式內皆為順序性 處理,而非資料流描述的共時性處理。Process 敘述的使用語法如下 標籤名稱:PROCESS (Sensitivity List) VARIABLE 變數A:資料型別; VARIABLE 變數B:資料型別; BEGIN : {PROCESS 主體敘述} END PROCESS 標籤名稱;

EX: 2 Bits Comparator LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY cmp IS PORT ( A: IN STD_LOGIC_vector(1 downto 0); B: IN STD_LOGIC_vector(1 downto 0); AEQB: OUT STD_LOGIC); END cmp; ARCHITECTURE structural OF cmp IS BEGIN Comp:PROCESS (A,B) AEQB <= '0'; IF A=B THEN AEQB <= '1'; END IF; END PROCESS Comp; END structural;

Data Flow Description 資料流型式的VHDL 描述風格,在VHDL 程式的設計中,亦用得相當多。資料流型式的描述風格,使用各訊號間的相互關係與輸出入關係,也就是描述了訊號資料的流動情形,故稱之為資料流描述法。 屬於共時性的描述,此有別於PROCESS中順序性的描述,在電路架構中所以Data Flow方程式或敘述均代表著共時的意義,並沒有先後順序的關係。 常用的描述法有 Boolean Equation、(WHEN …ELSE)、(WITH …SELECT…WHEN)等。

EX: 2 Bits Comparator LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY cmp2_1 IS PORT ( A :IN STD_LOGIC_VECTOR(1 DOWNTO 0); B :IN STD_LOGIC_VECTOR(1 DOWNTO 0); AEQB :OUT STD_LOGIC); END cmp2_1; ARCHITECTURE a OF cmp2_1 IS BEGIN AEQB <= '1' WHEN A = B ELSE '0'; END a;

Structure Descriptions 結構性的VHDL 電路架構描述法,係以電路元件間的元件宣告與連線關係而建立的。結構性的描述法,包含了二個主要的部分,一為元件的宣告部分(Component Declaration),此部分有時是以Package 的方式,宣告在另外的VHDL檔案中,使用時則要使用USE 指令將該Package 的內容包含在電路架構設計中。二為各元件間的連線關係,各元件之Port 訊號與其所相連接的訊號名稱之對應關係,則使用PORT MAP 敘述來定義清楚。

Structure Descriptions (Cont’d) COMPONENT 元件名稱 PORT ( 訊號A :模式[IN,OUT,INPUT] 資料型別; 訊號B :模式[IN,OUT,INPUT] 資料型別; : : 訊號N :模式[IN,OUT,INPUT] 資料型別; END COMPONENT

EX: 2 Bits Comparator 利用結構性描述法的方式來描述一個二位元的比較器:(要先寫 AND gate 和 XNOR gate component) cmp2_3  and_gate  xnor_gate

AND Gate LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY and_gate IS PORT ( A: IN STD_LOGIC; B: IN STD_LOGIC; Y: OUT STD_LOGIC); END and_gate; ARCHITECTURE arch OF and_gate IS BEGIN Y <= (A AND B) ; END arch;

XNOR Gate LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY xnor_gate IS PORT ( A: IN STD_LOGIC; B: IN STD_LOGIC; Y: OUT STD_LOGIC); END xnor_gate; ARCHITECTURE arch OF xnor_gate IS BEGIN Y <= NOT(A XOR B) ; END arch;

2 Bits Comparator LIBRARY ieee; USE ieee.std_logic_1164.all; USE宣告區&標準定義宣告 庫 ENTITY cmp2_3 IS PORT ( A :IN STD_LOGIC_VECTOR(1 DOWNTO 0); B :IN STD_LOGIC_VECTOR(1 DOWNTO 0); AEQB :OUT STD_LOGIC); END cmp2_3; 單體宣告區 ARCHITECTURE structural OF cmp2_3 IS 架構宣告區 COMPONENT and_gate PORT ( A: IN STD_LOGIC; B: IN STD_LOGIC; Y: OUT STD_LOGIC); END COMPONENT;

2 Bits Comparator (Cont’d) COMPONENT xnor_gate PORT ( A: IN STD_LOGIC; B: IN STD_LOGIC; Y: OUT STD_LOGIC); END COMPONENT; SIGNAL S_X1,S_X2: STD_LOGIC; BEGIN u0: xnor_gate PORT MAP (A => A(1),B => B(1),Y => S_X1); u1: xnor_gate PORT MAP (A => A(0),B => B(0),Y => S_X2); u2: and_gate PORT MAP ( A => S_X1,B => S_X2, Y => AEQB); END structural;

Implement Design

Test Bench

Test Bench (Cont’d) 產生test bench檔,已建立好測試檔和主程式輸入及輸出相對應的腳位關係。

Test Bench (Cont’d) 加入自行設計的輸入值和時間的對應關係 -- *** Test Bench - User Defined Section *** tb : PROCESS BEGIN wait for 25 ns; A <= "01" ; (注意: 2bits以上用雙引號,1bit用單引號) B <= "10" ; A <= "11" ; A <= "10" ; A <= “00" ; B <= "11" ; END PROCESS; -- *** End Test Bench - User Defined Section ***

Simulate with ModelSim6.0 將主程式及自行設計的測試檔放到 ModelSim 模擬,觀察波型的輸出值跟程式功能是否正確,由下圖觀察知只有在 A、B 相同下輸出為1 ,代表功能正確。

Assignment 利用VHDL 程式撰寫的方式表達如下圖所示的波形圖。其中A、B、C 是輸入訊號,D、E 是輸出訊號。 利用VHDL 撰寫 Testbench 並用 Modelsim 模擬。