陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日

Slides:



Advertisements
Similar presentations
完美殺人筆記簿 【爸!我受夠了!】 第七組組員: 林正敏 陳筱涵 李蓓宇 許純宜 羅玉芬 謝文軒.
Advertisements

当一切只剩断瓦颓垣的时候,我们只能把记忆 连根拔起。为了重建,必须先要毁灭。 落叶出版社 主编: curtian#
“ 上海市科研计划课题预算编制 ” 网上教程 上海市科委条财处. 经费预算表 表 1 劳务费预算明细表 表 2 购置设备预算明细表 表 3 试制设备预算明细表 表 4 材料费预算明细表 表 5 测试化验与加工费预算明细表 表 6 现有仪器设备使用费预算明细表 小于等于 20 万的项目,表 2 ~表.
平台的优点: ( 1 )永久免费: 学校和老师使用校讯通平台发送短信 是免费的,并且通过使用平台,可获得部分购物卡补贴。 ( 2 )移动办公: 校讯通不受时间和空间的限制,只要 有一台可以上网的电脑,老师便可以通过互联网发送短信 给家长,能够实现移动办公,节省老师的工作时间。 ( 3 )简单易用:
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
社交礼仪.
回归教材、梳理知识、突出能力 ——2015年历史二轮复习思考 李树全 西安市第八十九中学.
損益表 原則: 收益與費用的計算,實際上是在實現或發生時所產生,與現金收付當時無關。
報告者:蕭曄鴻 班級:溫馨甲孝 指導教授:李開濟博士
入党基础知识培训.
藥物濫用 華德學校上午校 黃秀雯.
單元名稱: 健康的兩性交往.
《中国共产党发展党员工作细则》 学习提纲 中共进贤县委组织部 宋 剑
严格发展程序,提高工作能力 黄 玉 2010年9月.
发展党员的流程和要求 党委组织部 萧炽成.
營利事業所得稅查核準則 相關概念介紹 南區國稅局 新營分局 林俊標 各位學員大家好:
Introduction 基本概念 授課老師:蕭志明
教育年鉴条目的撰写.
莫让情感之船过早靠岸 兴庆回中 赵莉.
行政公文写作 第七章 2004年8月 行政公文写作.
论文撰写的一般格式和要求 孟爱梅.
湖南师大附中高三政治第二次月考 试题讲评 试题讲评.
學 號:997I0010、997I0024 組 員:洪韋鈴、王婷婷 日 期: 指導老師:王立杰 老師
第三章 幼儿园课程内容的编制与选择.
第三章  电话、电子通讯   本章重难点:     打电话的方法、         接听电话的方法。
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
电话联系.
迎宾员礼仪 包头机电工业职业学校管理系 白琳 1.
第4章 VHDL设计初步.
《社交礼仪分享》 阳晨牧业科技有限公司 市场中心 二O一二年四月十八日.
会议文书.
公司法(六) 股份有限公司 1.
如何写入团申请书.
财 务 会 计 第四篇:供应链会计实务 制作人:谌君、熊瑜.
第11周 工作计划.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
第九章 結 帳 9-1 了解結帳的意義及功能 9-2 了解虛帳戶結清之會計處理 9-3 了解實帳戶結轉的會計處理
資料結構與C++程式設計進階 排序與搜尋 講師:林業峻 CSIE, NTU 6/ 14, 2010.
數位邏輯設計 VHDL.
演算法時間複雜度 (The Complexity of Algorithms)
中国大连高级经理学院博士后入站申请汇报 汇报人:XXX.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
內部控制作業之訂定與執行 報告人:許嘉琳 日 期:
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月1日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Programmable Logic System Design
第七章 基本逻辑电路设计.
Presentation transcript:

陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日 數位系統導論 A3─演算法高階硬體合成 High-Level Hardware Synthesis of Algorithm 陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日

演算法的階層式模組分解

模組架構

離散事件建模

階層式的離散事件建模

階層式的離散事件建模

迴圈Loop int i, Sum=0; for(i=0; i<=10; i++) { Sum = Sum + i; }

演算法的GRAFCET建模

系統架構

合成架構

Grafcet控制器模組合成 grafcet :PROCESS(CLK,RST) BEGIN IF RST='1' THEN X0<='1';X1<='0';X2<='0'; ELSIF CLK'EVENT AND CLK='1' THEN IF X0='1' THEN X0<='0'; X1<='1'; ELSIF X1='1' THEN X1<='0'; X2<='1'; ELSIF X2='1' AND I<10 THEN X2<='0';X1<='1'; ELSIF X2='1' AND I=10 THEN X2<='0';X0<='1'; END IF; END PROCESS grafcet;

Datapath模組合成 datapath :PROCESS(CLK,RST) BEGIN IF CLK'EVENT AND CLK='1' THEN IF X0='1' THEN TMP<=0; I<=0; ELSIF X1='1' THEN TMP<=TMP+I; ELSIF X2='1' THEN I<=I+1; END IF; END PROCESS datapath;

系統合成 ENTITY SUM is PORT ( CLK, RST : IN STD_LOGIC; S : OUT INTEGER RANGE 0 TO 128 ); END SUM; architecture arch of SUM is SIGNAL X0,X1,X2:STD_LOGIC; SIGNAL I: INTEGER RANGE 0 TO 15; SIGNAL TMP: INTEGER RANGE 0 TO 128; BEGIN grafet : process(CLK,RST)…… datapath : process(CLK,RST)…… S<=TMP; END arch;

模擬

演算法建模實例-求三角形函數內插值 求三角形函數內插值:輸入x,求y

演算法建模實例-求三角形函數內插值 求三角形函數內插值:輸入x,求y

演算法建模實例-找陣列值的最大值 求一個陣列值的最大值:從存放在記憶體中的陣列值data[j],j=0...N-1,找出其最大值。 max=0; for(j=0; j<=N; j++) { if(data[j]>max)max=data[j]; }

演算法建模實例-找陣列值的最大值

演算法建模實例-求Histogram陣列值的重心

演算法建模實例-求Histogram陣列值的重心

練習-Bubble Sort 請依方法論步驟設計一個電路執行以下Bubble sorting演算法:

練習-Bubble Sort Embedded ROM參考設計: type ROM_8x4 is array (0 to 8) of std_logic_vector(3 downto 0);  constant data: ROM_8x4 := ( 0 => "0000", 1 => "0001", 2 => "0011", 3 => "0001", 4 => "0110", 5 => "0000", 6 => "0011", 7 => "0111" );