使用VHDL設計—4位元位移器 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
平面构成 第六章 平面构成形式与法则 — 破规与变异. 第七章 平面构成形式与法则 — 破规与变异 破规与变异构成的形式、有下列四类: 一、特异构成 特异构成。其表现特征是,在普遍相同性质的事物 当中,有个别异质性的事物,便会立即显现出来。
Advertisements

什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
歷史報告 自強運動 指 導 老 師: 盧雨芯 製 作 簡 報: 第三組
組員:何浚壟4990Y031 詹昀蓉4990Y052 林怡汝4990Y053 黃亦雯4990Y055 王宜珺4990Y056
学龄前儿童(3-6)行为与社会环境 组长:吾尔克西 讲述人:张紫桓 ppt制作:管吉 熊萌 资料收集:徐琰 李捷羚.
指導老師:黃怡瑾老師 組員:喻紹嫻、蔡穎邵、邱韋竣、 吳燿明、陳俊宏、林雅婷
大学生常见心理问题 及其原因分析 09本日2班.
菜市場巡禮 四財三A 4980S030 王靖智 4980S070 陳婉莉 4980S071 蘇可芸 4980S075 陳惠卿
♔乙武洋匡♔.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
便民办税春风行动 ——税收优惠辅导培训 上杭县国家税务局纳税人学校 二0一四年九月 去除PPT模板上的--无忧PPT整理发布的文字
第4章 VHDL设计初步.
主題:轉換時刻 班 級:四進幼保三A 指導教授:葉雅惠 老師 組 別:第一組 組 員: 劉婉瑜 王美能
婚姻與家庭-田野調查 音樂二 第一組 S 沈蝶衣(組長) S 林長欣 S 余俐瑾
放大器-頻率響應實驗 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
2015学年第一学期 探究型课程专题培训 社会实践阅读领航 教育处
EPF10K10TI144-4晶片燒錄.
題目:十六對一多工器 姓名:李國豪 學號:B
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
2-3 基本數位邏輯處理※.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
數位邏輯設計與實習 Ch06 計數器與暫存器設計.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
電子學實驗—自給偏壓共射極放大 通訊二甲 B 楊穎穆.
使用VHDL設計--Moore Machine
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
班 級: 通訊二甲 學 號: B 學 生: 楊 穎 穆 老 師: 王 志 湖
RFID晶片設計期末報告 通訊四甲 B 楊穎穆.
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
邏輯設計--不穩多諧振盪器 通訊一甲 B 楊穎穆.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
電子學實驗--CE放大電路 通訊二甲 B 楊穎穆.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
程式設計期末測驗 通訊一甲 B 楊穎穆.
共源極頻率響應 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
班 級: 通訊二甲 學 號: B 學 生: 楊 穎 穆 老 師: 王 志 湖
數位邏輯設計 VHDL.
邏輯設計實驗作業 ----基本邏輯閘實驗 學號:B 楊穎穆.
美麗的西子湖.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
程式設計-- Binary Search 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
電子學實驗--全波整流 通訊二甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
電子學實驗—共集極放大電路 通訊二甲 B 楊穎穆.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
積分電路 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
Series-Series Feedback
CG放大(CD4007) 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
程式設計--Quick Sort 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
邏 輯 設 計__編碼器 學生:宋敬萱 指導老師:王志湖.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
查表法&電腦IO Port二進制轉七段顯示器
Programmable Logic System Design
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計—4位元位移器 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 程式 實驗結果 參考資料

目的 1. 使用VHDL設計一個4位元向右位移1位元位移器電路 2. 將電路加以模擬 3. 將程式燒錄到IC執行 2. 將電路加以模擬 3. 將程式燒錄到IC執行 4. 將以上原理撰寫成PPT格式報告交出 5. 將以上原理與操作過程講述一便並錄製成影音檔交出

設計原理 Ip(0)op(4) 1 1 位移器主要的功用就是位移,可分為左、右位移。 1 Ip(3 downto 1)op(3 downto 0) 1 1 Ip(0)op(4)

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity right1 is --電路內部要做的電路名稱 port( --接腳 ip:in std_logic_vector(3 downto 0); --輸入腳 ip,內部有四個位元數 op:out std_logic_vector(4 downto 0) --輸出腳 op,內部有五個位元數 ); end;

architecture behav of right1 is --電路內部結構 begin process(ip) --處理影響內部訊號 ip op(3 downto 0)<='0'& ip(3 downto 1); --將輸入端的值 ip後三個位元前串上'0',並搬入到op op(4)<=ip(0); --將輸入端第一個值搬入到輸出端第五個位元 end process; --結束process 程式 end behav; --程式結束

實驗結果(1) 當我輸入值為“1111”時,輸出會為”0111”,ip(0)會搬入到op(4),顯示為‘1’ ,右移一位。

實驗結果(2) 當我輸入值為“0110”時,輸出會為”0011”,ip(0)會搬入到op(4),顯示為‘0’ ,右移一位。

參考資料 主要的資料內容是參考王志湖老師上課所教授的內容及“數位邏輯”這本書。

END