VHDL语言与数字集成电路设计 电子科技大学 张鹰.

Slides:



Advertisements
Similar presentations
第1章 电子系统设计训练.
Advertisements

藥物濫用 華德學校上午校 黃秀雯.
EDA技术与Verilog设计.
实验四 利用中规模芯片设计时序电路(二).
实用操作系统概念 张惠娟 副教授 1.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
第10章 FPGA硬件设计 <EDA技术与应用> 课程讲义
Combinational Logic 組合邏輯
全国计算机等级考试 二级基础知识 第二章 程序设计基础.
计算机基础知识 丁家营镇九年制学校 徐中先.
Greatest Common Divisor ---最大公约数
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
授课对象:微电子、电子、计算机专业本科生、研究生 先修课:数字逻辑电路、Verilog
嵌入式系统课程简介 宋健建 南京大学软件学院 2004/02/10.
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
可编程器件与电子设计自动化 上海交通大学电工及电子技术实验中心 一九九九年三月.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
 与非门参数测试与组合逻辑电路设计  集成触发器  计数、译码、显示电路
第一单元 初识C程序与C程序开发平台搭建 ---观其大略
使用VHDL設計--Moore Machine
第五讲 四则运算计算器(一) 精品教程《C#程序设计与应用(第2版)清华大学出版社 谭恒松 主编
实验四 组合逻辑电路的设计与测试 一.实验目的 1.掌握组合逻辑电路的设计 方法 2.学会对组合逻辑电路的测 试方法.
时序逻辑电路实验 一、 实验目的 1.熟悉集成计数器的功能和使用方法; 2.利用集成计数器设计任意进制计数器。 二、实验原理
CPU结构和功能.
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
C语言程序设计 主讲教师:陆幼利.
第二章 逻辑代数基础 10.
计算机学院 数字逻辑实验的要求.
VisComposer 2019/4/17.
第一章 概 述.
微机原理与接口技术 微机原理与接口技术 朱华贵 2015年11月13日.
北投溫泉博物館 建築特色 ★小組成員:高103林孟璇、林念儀、施妤柔★.
计算机网络与网页制作 Chapter 07:Dreamweaver CS5入门
數位邏輯設計 VHDL.
组合逻辑电路 ——中规模组合逻辑集成电路.
第1章 EDA技术概述.
实验三 16位算术逻辑运算实验 不带进位控制的算术运算 置AR=1: 设置开关CN 1 不带进位 0 带进位运算;
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
iSIGHT 基本培训 使用 Excel的栅栏问题
长春理工大学 电工电子实验教学中心 数字电路实验 数字电路实验室.
实验二 带进位控制8位算术逻辑运算实验 带进位控制8位算术逻辑运算: ① 带进位运算 ② 保存运算后产生进位
第3章 组合逻辑电路 3.1 组合逻辑电路的分析和设计 返回 3.1. 1 组合逻辑电路的概述 3.1. 2 组合逻辑电路的分析方法
无线通信系统 信源:消息信号(调制信号) 振荡器:高频载波(正弦) 三要素: 振幅 AM 频率 FM 相位 PM 超外差接收 已调信号.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
集成与非门在脉冲电路中的应用 实验目的 1. 了解集成与非门在脉冲电路中 的某些应用及其原理。 2. 学习用示波器观测波形参数与
魏新宇 MATLAB/Simulink 与控制系统仿真 魏新宇
现代电子技术综合实验 陈学英.
Parallel Programming Xuanhua Shi/Pingpeng Yuan
计算机绘图 AutoCAD2016.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
C++语言程序设计 C++语言程序设计 第一章 C++语言概述 第十一组 C++语言程序设计.
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
电工电子技术实验 电工电子教学部.
FVX1100介绍 法视特(上海)图像科技有限公司 施 俊.
第九章 存储器和可编程逻辑器件 本章主要内容 半导体存储器 只读存储器 随机存取存储器 存储器容量的扩展 可编程逻辑器件
第六讲 酒店客房管理系统(二) 教育部“十二五”职业教育国家规划教材
FPGA系统设计与实践 本章小结(第5章).
Programmable Logic System Design
Presentation transcript:

VHDL语言与数字集成电路设计 电子科技大学 张鹰

Very high speed integration circuits Hardware Description Language 第一章 概述 VHDL Very high speed integration circuits Hardware Description Language 一种集成电路的硬件描述语言; 用于进行数字集成电路的设计;

数字集成电路 数字逻辑电路,通常由基本门电路构成;在一块半导体芯片上设计制作; 目前以CMOS工艺为主进行制备; 在信息技术领域得到广泛的应用。

数字集成电路的发展 从上世纪60年代开始发展,每3年集成度与速度提高2倍。 从简单的门电路到复杂的数字系统,系统复杂程度急剧提高。

VLSI(可达上亿) 大型系统组件或小型系统 数字集成电路的发展 SSI (1—20gates) 基本单元组合 (P.13)MSI(20—200) 简单功能电路: 译码器、数据选择器、寄存器、计数器 LSI(200—20万) 小规模系统组件: 存储器、微处理器、可编程逻辑器件 VLSI(可达上亿) 大型系统组件或小型系统 SOC:Systems on chip !

数字集成电路的设计

数字集成电路的设计特点 电路复杂程度高,开发时间长; 目标:短周期、低成本、高性能 方案:层次化、模块化、标准化 自顶至下的多层次设计:TOP-DOWN

数字集成电路的设计层次 系统设计 系统描述:芯片功能、性能、 成本、尺寸等 功能设计 功能级描述:功能框图、时序 图等 系统设计 系统描述:芯片功能、性能、 成本、尺寸等 功能设计 功能级描述:功能框图、时序 图等 逻辑设计 逻辑描述:逻辑电路图 电路设计 电路描述:电路图、门级网表 版图设计 版图网表

设计交流的语言:HDL 采用文本形式进行程序设计,便于编写和修改; 具有硬件特征的语句,可以描述数字系统的结构、功能、行为和接口; 全面支持电路硬件的设计、验证、综合和测试;设计与具体工艺无关,适合于多层次设计; 具有良好的开放性和并行设计能力、便于交流保存共享。

一个简单数字电路的设计描述 4位加法器标准模块:a+b+ci=s 3组输入,1组输出;

4位加法的实现过程 可以采用4个全加器模块(FA)连接实现; 每个模块有3个输入,2个输出;

采用实体(entity)描述模块的外部端口 VHDL对电路模块的描述 entity add4 is port (a,b: in bit_vector( 3 downto 0 ); ci : in bit; s : out bit_vector(4 downto 0)); end add4; 采用实体(entity)描述模块的外部端口

VHDL对电路内部结构的描述 采用结构体(archtecture)描述模块的内部连接关系 architecture str of add4 is signal c: bit_vector(2 downto 0); component fa is port (a,b,ci: in bit; s,co : out bit); end component; begin u1:fa port map (a(0),b(0),ci,s(0),c(0)); u2:fa port map (a(1),b(1),c(0),s(1),c(1)); u3:fa port map (a(2),b(2),c(1),s(2),c(2)); u4:fa port map (a(3),b(3),c(2),s(3),s(4)); end str; 采用结构体(archtecture)描述模块的内部连接关系

对上述描述程序的电路综合 程序准确体现了希望实现的电路结构

全加器的设计 VHDL不仅可以通过连线描述进行电路设计,也可以通过运算关系或电路的行为特征进行电路设计。 根据数字电路的基本知识,全加器的功能可以由下列逻辑运算描述:

全加器的VHDL程序 entity fa is port (a,b,ci: in bit; s,co : out bit); end fa;   architecture rtl of fa is begin s<=a xor b xor ci; co<=(a and b) or (a and ci) or (b and ci); end rtl;

全加器VHDL程序的综合结果

对全加器电路描述的修改 在CMOS电路结构中,3输入异或门不是一个基本单元器件,为了使设计能够更直接地反映晶体管电路的构成,可以将该计算采用两输入逻辑替代,设置一个中间信号表达2个输入量的异或,然后再将其与第3个变量进行异或;

对全加器电路描述的修改 architecture rtl of fa is signal s1:bit; Begin -- s<=a xor b xor ci; s1<=a xor b;s<=s1 xor ci co<=(a and b) or (a and ci) or (b and ci); end rtl; 只是改变结构体中的相应描述语句

修改后电路的综合结果 通过简单改变VHDL的语句,就可以改变电路中使用的逻辑单元和连接方式。

电路基本单元的结构 考虑到晶体管级和版图级的性能优化问题,异或门可以采用传输门结构实现,而“与-或”结构则通常采用与非门实现。

数字集成电路的优化设计 在系统一级,需要考虑使功能模块的数量最小化,减少相互连线; 在功能模块一级,需要考虑逻辑单元的使用量和运算速度问题; 对于逻辑单元,则需要考虑基本单元的使用及其连接方式; 晶体管级和版图的优化则限制着基本逻辑单元的规模。

数字集成电路设计的基本条件 熟悉电路的基本结构,设计方法和设计流程; 掌握硬件描述语言的特点和描述方法; 掌握相关综合工具和仿真工具的应用。

本课程内容安排 了解数字集成电路的结构特点 了解数字集成系统的基本设计方法 掌握常用EDA工具的基本使用方法 掌握VHDL的基本语法和主要编程要点 掌握常用数字单元电路的VHDL设计特点

教材 Digital Design —Principles & Practices (第三版) John F.Wakerly 高等教育出版社 (2001) (节选相关内容)

参考书 现代电子技术—VHDL与数字系统设计 杨刚 龙海燕 电子工业出版社(2004) VHDL数字电路设计教程 杨刚 龙海燕 电子工业出版社(2004) VHDL数字电路设计教程 [巴西]Volnei A.Pedroni 著 乔庐峰 王志功 等译 电子工业出版社(2005)