EDA 技术及应用 实验安排.

Slides:



Advertisements
Similar presentations
H T U 報告者:周振聰 僑生處 主任. h T U 免會考成績入學 h T U 未來望 您想學 一技之長嗎 ? 歡迎參加莊敬建教班 不但三年免學費,且 保證讓您學到一技之 長,脫離貧窮,邁向 光明的人生 免試入學.
Advertisements

有人说,世界的方向不仅在未来, 还在过去,需要转身才能发现。 — 王春元 中央电大工科机电类专业设置与课程建设的反思 国家开放大学工科机电类课程平台建设的构想 国家装备制造业发展现状与人才培养需求.
桃園地景藝術節 最近桃園在舉辦桃園地景藝術節,種共有五大主題區, 分別是:黃色小鴨展區、巨型蓮花展區、草間點點展區、范姜 古厝展區、新屋水巷展區。那這些景點到底個展覽什麼呢?讓 我們繼續看下去 …… 。
100 學年度 勞委會就業學程 國際企業管理學系-物業管理學程介紹. 何謂物業管理? 以台灣物業管理學會 所述,物業管理區分為 「物」、「業」、「人」三區塊。台灣物業管理學會 「物」係指傳統的建物設備、設施 「業」為不動產經營的資產管理 「人」則以生活服務、商業服務為主,並以人為 本位連結物與業,形成今日物業管理三足鼎立新.
4-2 、聚落的演變 人口的分布 自然環境特性、交通便利性及就業 機會等因素,常影響人們對於居住地點 的選擇。 臺灣西部地區的平原和盆地,地勢 較為低平、開發較早,在肥沃的土壤、 便捷的交通網路等有利的條件下,工商 業往來頻繁,人口較為密集。
第一章 声现象 第二节声音的特征.
我国青少年题材邮票欣赏 一、各个历史时期的重大题材 二、青少年德、智、体题材 三、童话题材 四、少儿绘画创作题材 五、儿童附捐邮票
行政命令.
加快培育和发展战略性新兴产业 科技部调研室 胥和平
共产党领导的多党合作和政治协商制度: 中国特色的政党制度.
普通高中新课程实验 若干问题 广东省教育厅教研室 吴惟粤 2004年4月29日 广州.
前言 採購程序每一環節所涉及人員,無論是訂定招標文件、招標、審標、決標、訂約、履約管理、驗收及爭議處理,如缺乏品德操守,有可能降低採購效率與品質,影響採購目標之達成,甚有違法圖利情事發生,致阻礙政府政策之推動並損害公共利益。因此,較之一般公務人員,採購人員更需遵循較高標準之道德規範。 主講人:林中財.
欢迎新同学.
2015年新课标高考历史试题分析 暨考试方向研判 李树全 西安市第八十九中学.
课题四 以天池、博斯腾湖 为重点的风景旅游区
2014年语言文字工作总结 党委学生工作部 2014年12月5日.
“健康的基督徒” 入门.
南台科技大學電子工程系 指導老師:楊榮林 老師 學生姓名:蔡博涵 巨物索餌感測裝置(第II版)
2015年汕头一模质量分析会 34(1)题分析 濠江区河浦中学 詹金锋 34(2)题分析 汕头市实验学校 董友军
士師逐個捉(II) 石建華牧師 24/07/2016.
宣讲数学课程标准 增强课程改革意识.
高考地理全国卷和安徽卷 的对比分析及备考策略
班級經營之再思 香港班級經營學會 黃鳳意
佛法原典研習 五陰誦 (II) 2007/5/13 整理此報告的方式 : 主要節錄 果煜法師說法之重點.
第3课 收复新疆.
朝鲜.
第3章: 產業與競爭環境 張緯良 世新大學資訊管理系.
健康檢查簡介 新湖國小健康中心 王淑華護理師 99/11/17.
2014年度合肥市中小学生学业质量 绿色指标测试相关情况说明及考务工作要求
高三政治二轮复习系列课件 专题十一  中华文化与民族精神.
普通高中课改方案介绍.
曾一 陈策 重庆大学计算机学院基础科学系 重庆
高三物理后期复习策略 秦皇岛市实验中学 刘苏祥.
理想与现实 有一所大学叫做“社会”,它教会人们奉承比自己强的,挤兑和自己差不多的,欺凌比自己弱的。
101學年度第二學期 呼吸治療學系 師生座談會 102年5月15日.
第十一单元 第24讲   第十一单元 世界经济的全球化趋势.
文明建设,气象先行 ——农安县气象局 2.
第七章 机械加工工艺规程的制定.
电气与信息工程学院 学科建设情况汇报
滑雪美食街 組員:林韋伶、張雅弦、王佑盛、朱坤賢.
汪清县气象局创建省级文明单位工作展示 2016年8月
台灣廢物物處理機構 邱騰煥 8 號.
软件企业涉税实务 嘉定区税务局.
榕桥中学 校园文化建设情况汇报.
9.1 抽签的方法合理吗.
弘ㄧ大師-李叔同.
纳税辅导答疑 企业所得税部分 2013年9月.
2016年上半年工作汇报 后勤与保卫管理处 二○一六年七月.
身边的噪音 ——六(1)班班队活动 李瑷蔚 符蓉.
几个关系问题 南京师大 刘炳昇 物理实验教学多元创新中的 几个关系问题 南京师大 刘炳昇
第6章 VHDL设计应用实例 6.1 8位加法器的设计 6.3 序列检测器的设计 6.4 正负脉宽数控调制信号发生器的设计
日本 班級:六年四班 座號: 八號 姓名:楊維綱.
2012版中考二轮复习历史精品课件北师大版 (含2011中考真题) 专题五世界近代史
VHDL數位電路實習與專題設計 文魁資訊-UE301
Ch01-2 Verilog語法 資料流(DataFlow)設計 行為(Behavior)設計
EDA原理及应用 何宾
第四阶段实验 ISP器件的设计与应用 一、实验目的 二、实验内容与要求 三、ISP器件的开发流程 四、EDA Pro2K实验系统介绍
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
9.1 家用电器.
EDA 技术实用教程 第 5 章 QuartusII 应用向导.
最速就業職種養成! 護理、軍人、職人 花蓮縣學生輔導諮商中心 適性輔導組 游賀凱
世外桃源~ 劍 潭 里 零碳排放里.
《信息技术与教育技术》听觉媒体技术.
相片典藏 臺北市立弘道國中總務處 防災校園建置計畫補助-個人防護具 品 名 數 量 30 4 工作手套 72 6 簡易雨衣 300
力学实验复习 杨昌彪 月.
动态扫描显示(实验四) 查询式键盘(实验五)
智力抢答器综合设计 陈学英.
实验二 数据通路与状态机 春_计算机组成原理实验_CS-USTC 实验目的
专题八 欧美代议制的确立与发展 (17—19世纪) 英    美 法 德 选修:日本 俄国.
声音的特性.
Presentation transcript:

EDA 技术及应用 实验安排

八位全加器的设计 实验一: 要求: 1、用VHDL设计四位半加器模块。 2、用图形方式构成八位全加器的顶层文件。 3、完成八位全加器的功能和时序仿真。 4、熟悉MAX+PLUS II 开发系统,初步掌握 EDA 技术的设计流程。

实验二: 1、具有清除端、使能端,计数范围为0-999 的计数器设计。输出为8421BCD码。 2、8421BCD码 ---七段LED译码显示电路设计。 要求: 1、用VHDL设计上述两个电路,用元件例化的 方式实现顶层设计。 2、完成功能仿真和时序仿真。 3、对设计结果进行时间分析(延迟、建立保 持时间和最高工作频率) 5、检查管脚情况,并进行手动分配。 4、掌握VHDL设计的基本方法,进一步熟悉 MAX+PLUSII 的使用。

注意:译码值的高位和低位的选择与接线的顺序相关 设计参考: g a 1、七段数码管显示驱动电路 输入 “0”:译码值“011,1111”,3F a 输入 “1”:译码值“000,0110”,06 f b 输入 “2”:译码值“101,1011”,5B g 输入 “3”:译码值“100,1111”,4F e c 输入 “4”:译码值“110,0110”,66 d 输入 “5”:译码值“110,1101”,6D 输入 “6”:译码值“111,1101”,7D 输入 “7”:译码值“000,0111”,07 输入 “8”:译码值“111,1111”,7F 输入 “9”:译码值“110,1111”,6F 注意:译码值的高位和低位的选择与接线的顺序相关

2、计数、显示电路 999 计数器 显示译码电路1 数码管1 clear en clk 显示译码电路2 数码管2 显示译码电路3 数码管3 百位 999 计数器 显示译码电路1 数码管1 clear [3..0] [6..0] en 十位 clk 显示译码电路2 数码管2 [3..0] [6..0] 个位 显示译码电路3 数码管3 [3..0] [6..0]

实验三: 扫描显示电路设计 要求: 1、了解实验系统箱中数码管显示模块及时钟 模块的工作原理,学习使用实验系统箱。 2、设计显示信号选择及扫描控制电路。 3、将实验二中的计数值用数码管显示出来。 4、将设计结果下载到实验箱中,按设计模块 连线,并检查设计结果。

1、实验箱中的数码管显示模块 1)8位数码管 2)显示信号输入: 输入信号为7段显 示译码电路的输 出信号,对应为: a b c d e f g和 Dp。 3)数码管选择信号 某一个时刻那一个 数码管显示由选择 信号SEL[3..0] 决 定。

LED数码管显示关系 选择信号状态 第一位 第二位 · · · · · · 第八位 1 1位亮 2位亮 3位亮 4位亮 5位亮 6位亮 第一位 第二位 · · · · · · 第八位 LED数码管显示关系 选择信号状态 数码管状态 SEL2 SEL1 SEL0 1 1位亮 2位亮 3位亮 4位亮 5位亮 6位亮 7位亮 8位亮 SEL脚悬空为高电平。

5)类推: CLK4=20*F_SEL1*F_SEL2 *F_SEL3*F_SEL4*CLK4 MHz 2、实验箱中的数字时钟模块 1)CLK0由跳线JP7 设置。 2)CLK1由JP1和JP8设置。 CLK1=20*F_SEL1*CLK1 MHz 3)CLK2由JP1、JP2和JP9设置。 CLK2=20*F_SEL1*F_SEL2 *CLK2 MHz 4)CLK3由JP1、JP2、JP3和 JP10完成设置。 CLK3=20*F_SEL1*F_SEL2 *F_SEL3*CLK3 MHz 5)类推: CLK4=20*F_SEL1*F_SEL2 *F_SEL3*F_SEL4*CLK4 MHz CLK5=20*F_SEL1*F_SEL2 *F_SEL3*F_SEL4*F_SEL5*CLK5 MHz

为使显示的计数值的可视度较好,扫描时钟CLK_D>25Hz,且大于3倍的计数器频率。 接实验箱 的Sel 扫描时钟CLK_D的选择: 为使显示的计数值的可视度较好,扫描时钟CLK_D>25Hz,且大于3倍的计数器频率。

实验四: 8位数字频率计的设计及实现 一、要求: 1、用VHDL完成 8位数字频率计的设计,并完 成对设计电路的时序仿真。 2、频率测量范围:0 - 99999999 Hz 3、基准频率:20 MHz、10MHz、5MHz可选。 4、用实验系统箱实现该频率计,并用数码管 显示所测的频率值。 5、对频率计的测量精度进行分析,完成实验 总结报告,并提出改进设计方案。

1、频率测量的基本原理就是在单位时间内计算 待测信号的脉冲个数 二、数字频率计实验的基本原理 1、频率测量的基本原理就是在单位时间内计算 待测信号的脉冲个数 2、8位十进制数字频率计的原理框图 FSIN 8 位十进制计数器 CNT[31..0] REG[31..0] Dout[6..0] CLK 控制信号发生器 TSTEN 锁存器 扫描显示电路 数码管 RST CLR_CNT LOAD Sel [6..0] CLK_D 1S 2S RST CLK TSTEN LOAD CLR_CNT

TESTCTL CNT10 CNT10 Fsin Clk_78125 CNT78125 CNT10 CNT10 rst CNT10 DIN[3..0] DIN[19..16] CLK CQ[3..0] CLR CARRY_OUT ENA CLK CQ[3..0] CLR CARRY_OUT ENA Clk_78125 CNT78125 CLK_0.5 CLK CLK_1 CNT10 CNT10 DIN[7..4] DIN[23..20] CLK CQ[3..0] CLR CARRY_OUT ENA CLK CQ[3..0] CLR CARRY_OUT ENA TESTCTL CLK_0.5 rst CLK TESTEN RST CLR_CNT LOAD CNT10 CNT10 DIN[11..8] DIN[27..23] CLK CQ[3..0] CLR CARRY_OUT ENA CLK CQ[3..0] CLR CARRY_OUT ENA REG32B LOAD DOUT[31..0] DIN[31..0] DIN[31..0] CNT10 CNT10 DIN[15..12] DIN[31..28] CLK CQ[3..0] CLR CARRY_OUT ENA CLK CQ[3..0] CLR CARRY_OUT ENA DOUT [31..0] DO[3..0] SEL[2..0] LED Dout_d[6..0] DIN[3..0] Dout[6..0] CLK_D CLK_D SEL[2..0] SEL[2..0]

前三个实验每个实验时间为一次(4学时), 第四个实验时间为二次(8学时)。