使用VHDL設計-多工器/解多工器 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
2009 套读自考本科简介 —— 抓住机遇,用知识改变命运 目 录 二、提升学历、提升自身素质的途径选择 三、高教自考和套读自考本科介绍 四、我校自考套读本科情况介绍 一、就业状况 五、我校今年招生专业介绍.
Advertisements

什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
8日-9日会后考察线路(自费自愿) 后期考察由西宁天海会议公司青海天海国际旅行社提供服务。
通州国税纳税信用等级A类纳税人 取消发票认证操作培训 2016 通州国税.
我的家乡 潍坊.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
第4章 VHDL设计初步.
北京汉邦高科数字技术股份有限公司 2015年年报交流.
小平故里,魅力广安 小平故里 旅游名城 “吃货”天堂 主讲:张晨曦.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
《中级经济法》模考点评 主讲老师:武劲松.
(Combinational Circuit)
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
2-3 基本數位邏輯處理※.
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
第6章組合邏輯應用實驗 6-1 編碼∕解碼器實驗 6-2 多工∕解多工器實驗 6-3 七段顯示解碼器.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
義守大學電機工程學系 陳慶瀚 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
電子學實驗—自給偏壓共射極放大 通訊二甲 B 楊穎穆.
使用VHDL設計--Moore Machine
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
邏輯設計--不穩多諧振盪器 通訊一甲 B 楊穎穆.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
電子學實驗--CE放大電路 通訊二甲 B 楊穎穆.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
教學檔案製作、評量與應用 潭陽國小 廖婉惠
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
程式設計期末測驗 通訊一甲 B 楊穎穆.
數位邏輯設計 VHDL.
邏輯設計實驗作業 ----基本邏輯閘實驗 學號:B 楊穎穆.
白城师范学院经济管理系 成 本 会 计 学 制作:吴威名.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
電子學實驗--全波整流 通訊二甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
電子學實驗—共集極放大電路 通訊二甲 B 楊穎穆.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
積分電路 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
Series-Series Feedback
程式設計--Quick Sort 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
邏 輯 設 計__編碼器 學生:宋敬萱 指導老師:王志湖.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
波的振幅與週期量測 通訊一甲 B 楊穎穆.
查表法&電腦IO Port二進制轉七段顯示器
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計-多工器/解多工器 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 多工器&解多工器 真值表 程式 實驗結果 資料來源

目的 使用VHDL設計一個四組4Bit輸入對一組4Bit輸出之多工器。 使用VHDL設計一個一組4Bit輸入對四組4Bit輸出之解多工器。 a0 A a1 a2 a3 op1 op op2 op3 op4 sel a0 A a1 a2 a3 ip1 ip ip2 ip3 ip4 sel

設計原理 多工器又可以叫做”選擇器” 。 而這次程式主要可以使用if…then和case的方式來寫,而我用的是if…then的方式,在程式中它會依照輸入的條件不同,而將訊號選擇到不同的輸出位置,產生我們想得到的結果。

多工器&解多工器 多 多 少 少 op0 I0 op Ip I1 op1 Sel(選擇) Sel(選擇) 2x1MUX 1x2DEMUX 當sel=‘0’ =>op0=Ip 當sel=‘0’ =>op=I0 ※No-of-sel=[log2ni]=[log22]=1,sel=1

真值表 --多工器 sel Op 00 01 10 11 a(a0,a1,a2,a3) b(b0,b1,b2,b3) c(c0,c1,c2,c3) 11 d(d0,d1,d2,d3)

真值表 --解多工器 sel Op1 op2 op3 op4 00 01 10 11 ip 0 0 0 0 ip 0 0 0 0 ip 0

程式 --多工器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity MUX4_1 is --ic內部要做的電路名稱 port( --接腳 a,b,c,d : in std_logic_vector(3 downto 0); --a,b,c,d為輸入腳位,且內部各有四個位元數 op : out std_logic_vector(3 downto 0); --op為輸出腳位,內部有四個位元數 sel : in std_logic_vector(1 downto 0) --sel為輸入腳位,內部有兩個位元數 ); end;

architecture Behav of MUX4_1 is --電路內部結構 begin process(a,b,c,d,sel) --處理影響內部的輸入訊號 a,b,c,d,sel if sel="00" then op<=a; --假如輸入sel為"00"時,op會搬入 a elsif sel="01" then op<=b; --假如輸入sel為"01",op會搬入b elsif sel="10" then op<=c; --假如輸入sel為"10",op會搬入c else op<=d; --假如輸入sel為"11",op會搬入d end if; --結束if程式 end process; --結束process程式 end Behav; --程式結束

程式 --解多工器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity DEMUX1_4 is --ic電路內部要做的電路名稱 port( --接腳 ip : in std_logic_vector(3 downto 0); --ip為輸入腳位,且內部有四個位元數 op1,op2,op3,op4: out std_logic_vector(3 downto 0); --op1,op2,op3,op4為輸出腳位,內部各有四個位元數 sel : in std_logic_vector(1 downto 0) --sel為輸入腳位,內部有兩個位元數 ); end;

architecture Behav of DEMUX1_4 is --電路內部結構 begin process(ip,sel) --處理影響的輸入訊號 ip,sel if sel="00" then op1<= ip; else op1<="0000"; end if; --假如sel輸入為"00",op1會搬入ip,另外op1就會搬入"0000",結束動作 if sel="01" then op2<= ip; else op2<="0000"; end if; --假如sel輸入為"01",op2會搬入ip,另外op2就會搬入"0000",結束動作 if sel="10" then op3<= ip; else op3<="0000"; end if; --假如sel輸入為"10",op3會搬入ip,另外op3就會搬入"0000",結束動作 if sel="11" then op4<= ip; else op4<="0000"; end if; --假如sel輸入為"11",op4會搬入ip,另外op4就會搬入"0000",結束動作 end process; --結束process程式 end Behav; --程式結束

實驗結果(1) --多工器 當sel為“00”時,a0為‘1’時,此時輸出的op0就為‘1’燈亮,若a0,a1,a2皆為‘1’時,輸出的op0,op1,op2皆是‘1’就會三個燈都亮起來。

實驗結果(2) --多工器 當sel為“00”時, a0,a1,a2,a3皆為‘1’時,輸出的op0,op1,op2,op3皆是‘1’就會四個燈都亮起來。 當sel為“01”時, b0,b1,b2,b3皆為‘1’時,輸出的op0,op1,op2,op3皆是‘1’就會四個燈都亮起來。

實驗結果(3) --多工器 當sel為“10”時, c0,c1,c2,c3皆為‘1’時,輸出的op0,op1,op2,op3皆是‘1’就會四個燈都亮起來。 當sel為“11”時, d0,d1,d2,d3皆為‘1’時,輸出的op0,op1,op2,op3皆是‘1’就會四個燈都亮起來。

實驗結果(4) --解多工器 當sel為“00”時, ip0,ip1,ip2,ip3皆為‘1’時,輸出的op10,op20,op30,op40皆是‘1’就會四個燈都亮起來。 當sel為“01”時, ip0,ip1,ip2,ip3皆為‘1’時,輸出的op11,op21,op31,op41皆是‘1’就會四個燈都亮起來。

實驗結果(5) --解多工器 當sel為“10”時, ip0,ip1,ip2,ip3皆為‘1’時,輸出的op13,op23,op33,op43皆是‘1’就會四個燈都亮起來。 當sel為“11”時, ip0,ip1,ip2,ip3皆為‘1’時,輸出的op14,op24,op34,op44皆是‘1’就會四個燈都亮起來。

資料來源 主要的內容都來至王志湖老師上課所教授的內容所做成的筆記及“數位邏輯”這本書。

END