題目:十六對一多工器 姓名:李國豪 學號:B

Slides:



Advertisements
Similar presentations
人间美地 ─ 蝶韵阁. ~ 蝶 韵 阁 ~ 位桃园大溪镇,三峡交流道下去 12 分钟车程 住着潇洒的朱大哥、毛毛夫妻一家 还有 自由飞翔的蓝鹊、飞鹰、松鼠 一群悠闲采蜜翩翩飞舞的凤蝶 更惊讶的是一对珍贵的娇客 ─ 蜂蛾 那根长长的吸管是大自然的奇迹 蜂蛾已让我们惊艳不已 但 ─ 还有更多的美丽与惊奇、、、.
Advertisements

彰化縣和美鎮 和仁國民小學 本土語言教育暨 台灣母語日訪視 簡 報. 一. 學校概況 校地面積 校地面積廣達三公頃 學生活動空間寬廣!
數學社群 教學分享 和平國小 陳淑渟老師 數學社群 教學分享 和平國小 陳淑渟老師. 小一常發生的 學習困難 定位板的應用 序數的學習 困難與教學 突破 主題大綱.
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
酸鹼食物對人體的影響性.
【2008年高考重庆卷】A.当冰雪皑皑之际,唯独梅花昂然绽放于枝头,对生命充满希望和自信,教人精神为之一振。
與櫻花有約 櫻花開放時間 櫻花前線 賞花便當 京都機場(附近) 夜櫻 哲學之道.
人間美地─ 蝶韻閣 ..
美洲集团散拼项目分享 李维迪.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
蔬菜常见缺素症状及防治方法 龙岩市科技局.
第4章 VHDL设计初步.
歡樂大派對 六年七班 第一組 自然成果發表會.
电工电子实验中心.
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
(Combinational Circuit)
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
第6章組合邏輯應用實驗 6-1 編碼∕解碼器實驗 6-2 多工∕解多工器實驗 6-3 七段顯示解碼器.
第4章 常用EDA工具软件操作指南 4.1 Lattice ispEXPERT 操作指南
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
第九章 数字系统设计 数字系统设计概述 ASM图、MDS图以及 ASM图至MDS图的转换 数字密码引爆器系统设计 数字系统设计实例 小结.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
義守大學電機工程學系 陳慶瀚 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚
第13章 数字电路基础 13.1 数字电路概述 13.2 数字电路中的数值与码制 13.3 逻辑代数 13.4 逻辑门电路
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用
數位邏輯設計 題目:七段顯示器 姓名:黃志民 學號:B 數位邏輯設計.
使用VHDL設計--Moore Machine
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
建立一 function s (type) 可以用來繪製cyclic-harmonic curves
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用 Altera Quartus II 進行電路設計與模擬
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
債之標的 楊智傑.
计算机学院 数字逻辑实验的要求.
XILINX FPGA Download Programming
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
數位邏輯設計 VHDL.
邏輯設計實驗作業 ----基本邏輯閘實驗 學號:B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
Cloud Training Material- 事件 Sherman Wang
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

題目:十六對一多工器 姓名:李國豪 學號:B09322001 VHDL邏輯設計 題目:十六對一多工器 姓名:李國豪 學號:B09322001

目標&原理 把十六條通道訊號,用四個選擇線,合成一條訊號輸出。 在數位系統中,我們需要將多條通道訊號合成一條訊號,以利傳送輸出,所以我們需要有選擇線,用它們來決定哪個輸入訊號可以送到輸出。

真值表

當選擇線S(3)S(2)S(1)S(0)為“0000”,輸出O為I0

VHDL程式碼 library ieee; use ieee.std_logic_1164.all; entity mux16_1 is port( I: in std_logic_vector(15 downto 0); S: in std_logic_vector(3 downto 0); O: out std_logic); end; architecture main of mux16_1 is begin process(I,S) -- 處理輸入I, S

begin if S="0000" then O<=I(0); elsif S="0001" then O<=I(1); elsif S="0010" then O<=I(2); elsif S="0011" then O<=I(3); elsif S="0100" then O<=I(4); elsif S="0101" then O<=I(5); elsif S="0110" then O<=I(6); elsif S="0111" then O<=I(7); elsif S="1000" then O<=I(8); elsif S="1001" then O<=I(9); elsif S="1010" then O<=I(10); elsif S="1011" then O<=I(11); elsif S="1100" then O<=I(12); elsif S="1101" then O<=I(13); elsif S="1110" then O<=I(14); else O<=I(15); end if; end process; end main;

LATTICE使用 從 開始 程式集 Lattice Semiconductor 開啟檔案

新增一個Project 輸入檔名 選擇VHDL格式

1.選擇所要用的裝置(Device) 2.選ispLSI2032E 3.OK按下去

1.新增一個原始檔Source 3.OK 2.選擇VHDL Module格式

1.輸入檔名mux16_1 4.OK 2.實體名稱 3.結構名稱

編輯好之後存檔

跑看看程式碼有沒有錯誤

設定接腳

一一設定接腳 設定好之後存檔

1.搜尋Download Cable 2.燒錄至實驗板就完成了實驗

下載吧!

Synplify的使用 開一個Project 新的Project

開 NEW HDL File 把程式碼打好 儲存

1.加入檔案 2.選擇檔案 3.加入 4.OK

程式跑一遍 成功囉

閱覽電路圖