使用VHDL設計-8x3編碼電路 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
Advertisements

歷史報告 自強運動 指 導 老 師: 盧雨芯 製 作 簡 報: 第三組
組員:何浚壟4990Y031 詹昀蓉4990Y052 林怡汝4990Y053 黃亦雯4990Y055 王宜珺4990Y056
学龄前儿童(3-6)行为与社会环境 组长:吾尔克西 讲述人:张紫桓 ppt制作:管吉 熊萌 资料收集:徐琰 李捷羚.
指導老師:黃怡瑾老師 組員:喻紹嫻、蔡穎邵、邱韋竣、 吳燿明、陳俊宏、林雅婷
大学生常见心理问题 及其原因分析 09本日2班.
菜市場巡禮 四財三A 4980S030 王靖智 4980S070 陳婉莉 4980S071 蘇可芸 4980S075 陳惠卿
♔乙武洋匡♔.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
便民办税春风行动 ——税收优惠辅导培训 上杭县国家税务局纳税人学校 二0一四年九月 去除PPT模板上的--无忧PPT整理发布的文字
第4章 VHDL设计初步.
主題:轉換時刻 班 級:四進幼保三A 指導教授:葉雅惠 老師 組 別:第一組 組 員: 劉婉瑜 王美能
婚姻與家庭-田野調查 音樂二 第一組 S 沈蝶衣(組長) S 林長欣 S 余俐瑾
放大器-頻率響應實驗 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
2015学年第一学期 探究型课程专题培训 社会实践阅读领航 教育处
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
(Combinational Circuit)
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
2-3 基本數位邏輯處理※.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
電子學實驗—自給偏壓共射極放大 通訊二甲 B 楊穎穆.
使用VHDL設計--Moore Machine
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
邏輯設計--不穩多諧振盪器 通訊一甲 B 楊穎穆.
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
電子學實驗--CE放大電路 通訊二甲 B 楊穎穆.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
程式設計期末測驗 通訊一甲 B 楊穎穆.
共源極頻率響應 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
班 級: 通訊二甲 學 號: B 學 生: 楊 穎 穆 老 師: 王 志 湖
數位邏輯設計 VHDL.
邏輯設計實驗作業 ----基本邏輯閘實驗 學號:B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
程式設計-- Binary Search 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
電子學實驗—共集極放大電路 通訊二甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
積分電路 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
Series-Series Feedback
CG放大(CD4007) 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
程式設計--Quick Sort 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
邏 輯 設 計__編碼器 學生:宋敬萱 指導老師:王志湖.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
查表法&電腦IO Port二進制轉七段顯示器
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計-8x3編碼電路 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 真值表 程式 實驗結果 參考資料

目的 1. 使用VHDL設計一個8對3之編碼電路 2. 將電路加以模擬 3. 將程式燒錄到IC執行 4. 將以上原理撰寫成PPT格式報告交出 5. 將以上原理與操作過程講述一便並錄製成影音檔交出

設計原理 op1 op2 op3 Encoder 8x3 主要使用VHDL來設計一個8對3的編碼器 ip0 ip1 op1 ip2 ip3 op2 ip4 ip5 ip6 op3 ip7 Encoder 8x3

ip(ip0,ip1,ip2,ip3,ip4,ip5,ip6,ip7) 真值表 ip(ip0,ip1,ip2,ip3,ip4,ip5,ip6,ip7) op(op1,op2,op3) 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 0 0 1 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity encoder8x3 is --ic內部要做的電路名稱 port( --接腳 ip :in std_logic_vector(7 downto 0); --ip為輸入腳位,且內部有8個位元數 op :out std_logic_vector(2 downto 0) --op為輸出腳位,且內部有3個位元數 ); end;

architecture behav of encoder8x3 is --電路內部結構 begin process(ip) --處理影響內部的訊號 ip if ip="10000000" then op<="000"; --當輸入為"10000000"時,op輸出為"000" elsif ip="01000000" then op<="001"; --輸入為"01000000",op輸出為"001" elsif ip="00100000" then op<="010"; --輸入為"00100000",op輸出為"010" elsif ip="00010000" then op<="011"; --輸入為"00010000",op輸出為"011" elsif ip="00001000" then op<="100"; --輸入為"00001000",op輸出為"100" elsif ip="00000100" then op<="101"; --輸入為"00000100",op輸出為"101" elsif ip="00000010" then op<="110"; --輸入為"00000010",op輸出為"110" else op<="111"; --輸入為"00000001",op輸出為"111" end if; --結束if程式 end process; --結束process程式 end behav; --程式結束

實驗結果(1) 當我們輸入端輸入為“10000000”時,此時輸出端會顯示出”000” 。 當我們輸入端輸入為“01000000”時,此時輸出端會顯示出”001” 。

實驗結果(2) 當我們輸入端輸入為“00100000”時,此時輸出端會顯示出”010” 。 當我們輸入端輸入為“00010000”時,此時輸出端會顯示出”011” 。

實驗結果(3) 當我們輸入端輸入為“00001000”時,此時輸出端會顯示出”100” 。 當我們輸入端輸入為“00000100”時,此時輸出端會顯示出”101” 。

實驗結果(4) 當我們輸入端輸入為“0000010”時,此時輸出端會顯示出”110” 。 當我們輸入端輸入為“00000001”時,此時輸出端會顯示出”111” 。

參考資料 主要的資料內容是參考王志湖老師上課所教授的內容及“數位邏輯”這本書。

END