可變式計數器 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
歷史報告 自強運動 指 導 老 師: 盧雨芯 製 作 簡 報: 第三組
Advertisements

組員:何浚壟4990Y031 詹昀蓉4990Y052 林怡汝4990Y053 黃亦雯4990Y055 王宜珺4990Y056
学龄前儿童(3-6)行为与社会环境 组长:吾尔克西 讲述人:张紫桓 ppt制作:管吉 熊萌 资料收集:徐琰 李捷羚.
指導老師:黃怡瑾老師 組員:喻紹嫻、蔡穎邵、邱韋竣、 吳燿明、陳俊宏、林雅婷
大学生常见心理问题 及其原因分析 09本日2班.
菜市場巡禮 四財三A 4980S030 王靖智 4980S070 陳婉莉 4980S071 蘇可芸 4980S075 陳惠卿
♔乙武洋匡♔.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
便民办税春风行动 ——税收优惠辅导培训 上杭县国家税务局纳税人学校 二0一四年九月 去除PPT模板上的--无忧PPT整理发布的文字
第4章 VHDL设计初步.
主題:轉換時刻 班 級:四進幼保三A 指導教授:葉雅惠 老師 組 別:第一組 組 員: 劉婉瑜 王美能
婚姻與家庭-田野調查 音樂二 第一組 S 沈蝶衣(組長) S 林長欣 S 余俐瑾
2015学年第一学期 探究型课程专题培训 社会实践阅读领航 教育处
EPF10K10TI144-4晶片燒錄.
第三章 组合逻辑电路 第一节 组合电路的分析和设计 第二节 组合逻辑电路中的竞争与冒险 第三节 超高速集成电路硬件描述语言VHDL
題目:十六對一多工器 姓名:李國豪 學號:B
(Combinational Circuit)
VHDL數位電路實習與專題設計 文魁資訊-UE301
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
2-3 基本數位邏輯處理※.
VHDL 硬體描述語言 數位電路設計實務 第四章 VHDL 的語言結構.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
2-1 接腳說明 2018/11/30 第2章 系統分析.
義守大學電機工程學系 陳慶瀚 第2章 VHDL基本語法 義守大學電機工程學系 陳慶瀚
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
數位邏輯設計與實習 Ch06 計數器與暫存器設計.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
電子學實驗—自給偏壓共射極放大 通訊二甲 B 楊穎穆.
使用VHDL設計--Moore Machine
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第12章 图像边缘检测器的设计与分析 12.1 系统设计要求 12.2 系统设计方案 12.3 主要LPM原理图和VHDL源程序
第五章 VHDL设计基础 本章重点: 本章难点: VHDL程序结构 VHDL的基本数据类型 VHDL的基本描述语句 基本组合逻辑电路设计
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
邏輯設計--不穩多諧振盪器 通訊一甲 B 楊穎穆.
移相正弦信号发生器设计 采用直接数字综合器DDS发生器的设计 原理:图1是此电路模型图
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
第一次上机安排 第六周 第七周 周一晚(提高1、2,通信001~012) 周二上(通信014~085) 周四上(通信086~154)
電子學實驗--CE放大電路 通訊二甲 B 楊穎穆.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
程式設計期末測驗 通訊一甲 B 楊穎穆.
數位邏輯設計 VHDL.
邏輯設計實驗作業 ----基本邏輯閘實驗 學號:B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
程式設計-- Binary Search 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
電子學實驗--全波整流 通訊二甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
電子學實驗—共集極放大電路 通訊二甲 B 楊穎穆.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
Series-Series Feedback
程式設計--Quick Sort 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
查表法&電腦IO Port二進制轉七段顯示器
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

可變式計數器 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 程式 實驗結果 資料來源

目的 使用VHDL設計一個可變式計數器電路,此電路有RESET功能, CLOCK輸入後向上開始計數。 將電路加以模擬 將程式燒錄到IC執行 將以上原理撰寫成PPT格式報告交出

設計原理 當reset=‘0’ ,y會為“0000”,否則clock計數向上,且我們現在y 設定會等於 x 值。 z bin2seg y clock x reset

設計原理 可變式計數器 : 會依照我們設定的值,從0開始計數 5 4 1 3 2 可變式向上計數器

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity work is --ic內部要做的電路名稱 port( --接腳 reset,clock: in std_logic; --輸入腳為reset,clock x:in std_logic_vector(3 downto 0); --x為輸入腳,且內部有三位元數 z:out std_logic_vector(6 downto 0) --z為輸出腳,且內部有七位元數 ); end;

architecture Behav of work is --電路內部結構 signal y: std_logic_vector(3 downto 0); --內部訊號 y,內部為四位元 begin process(reset,clock,x) --處理影響內部的輸入訊號reset,clock,x if(reset='0') then y<="0000"; --當reset='0'時,"0000"會搬入y,從0開始計數 else if(clock'event and clock='1')then --判別clock此訊號是否由'0'--->'1'的上昇緣 if(y=x)then y<="0000"; --判別計數器輸出是否已經是y=x,則設定輸出由'0'開始 else y<=y+'1'; --不是,則計數器輸出繼續加'1' end if; --結束 if 程式 end if; --結束 if 程式 end if; --結束 if 程式 end process; --結束 process 程式

process(y) --處理y輸入的訊號 begin if (y="0000") then z<="0000001"; --輸入為"0000"七段會顯示為'0' elsif (y="0001") then z<="1001111"; --輸入為"0001"七段會顯示為'1' elsif (y="0010") then z<="0010010"; --輸入為"0010"七段會顯示為'2' elsif (y="0011") then z<="0000110"; --輸入為"0011"七段會顯示為'3' elsif (y="0100") then z<="1001100"; --輸入為"0100"七段會顯示為'4' elsif (y="0101") then z<="0100100"; --輸入為"0101"七段會顯示為'5' elsif (y="0110") then z<="0100000"; --輸入為"0110"七段會顯示為'6' elsif (y="0111") then z<="0001111"; --輸入為"0111"七段會顯示為'7' elsif (y="1000") then z<="0000000"; --輸入為"1000"七段會顯示為'8' elsif (y="1001") then z<="0000100"; --輸入為"1001"七段會顯示為'9' elsif (y="1010") then z<="0001000"; --輸入為"1010"七段會顯示為'a' elsif (y="1011") then z<="1100000"; --輸入為"1011"七段會顯示為'b' elsif (y="1100") then z<="0110001"; --輸入為"1100"七段會顯示為'c' elsif (y="1101") then z<="1000010"; --輸入為"1101"七段會顯示為'd' elsif (y="1110") then z<="0110000"; --輸入為"1110"七段會顯示為'e' else z<="0111000"; --輸入為"1111"七段會顯示為'f' end if; --結束if程式 end process; --結束process程式 end Behav; --程式結束

實驗結果(1) 當我x輸入為“1100”時,輸出端就會顯示為c,然後我按下clock就會向上開始計數,計數到c時就繼續從0在開始計數。

實驗結果(2) 當我x輸入為“0011”時,輸出端就會顯示為3,然後我按下clock就會向上開始計數,計數到3時就繼續從0在開始計數。

資料來源 “數位邏輯”這本書。 王志湖老師上課所教授的內容。

END