使用VHDL設計-七段顯示 通訊一甲 B09622048 楊穎穆.

Slides:



Advertisements
Similar presentations
什么是SOPC: SOPC是英文System On a Programmable Chip的缩写,称为片上可编程系统。SOPC将传统的EDA技术、计算机系统、嵌入式系统、数字信号处理等融为一体,综合了各自的优势,且在结构上形成一块芯片。 为什么用SOPC:SOPC是现代电子技术和电子系统设计的发展趋势,建立了电子系统设计的新模式。用户利用SOPC开发平台,自行设计高速、高性能的DSP处理器、特定功能的CPU及其外围接口电路,创建结构最为简洁的电子系统。
Advertisements

歷史報告 自強運動 指 導 老 師: 盧雨芯 製 作 簡 報: 第三組
組員:何浚壟4990Y031 詹昀蓉4990Y052 林怡汝4990Y053 黃亦雯4990Y055 王宜珺4990Y056
学龄前儿童(3-6)行为与社会环境 组长:吾尔克西 讲述人:张紫桓 ppt制作:管吉 熊萌 资料收集:徐琰 李捷羚.
指導老師:黃怡瑾老師 組員:喻紹嫻、蔡穎邵、邱韋竣、 吳燿明、陳俊宏、林雅婷
大学生常见心理问题 及其原因分析 09本日2班.
菜市場巡禮 四財三A 4980S030 王靖智 4980S070 陳婉莉 4980S071 蘇可芸 4980S075 陳惠卿
♔乙武洋匡♔.
《 E D A 技 术》 课 程 教 学 讲授:伍宗富 湖南文理学院电气与信息工程学院 2017年3月19日星期日.
便民办税春风行动 ——税收优惠辅导培训 上杭县国家税务局纳税人学校 二0一四年九月 去除PPT模板上的--无忧PPT整理发布的文字
第4章 VHDL设计初步.
主題:轉換時刻 班 級:四進幼保三A 指導教授:葉雅惠 老師 組 別:第一組 組 員: 劉婉瑜 王美能
婚姻與家庭-田野調查 音樂二 第一組 S 沈蝶衣(組長) S 林長欣 S 余俐瑾
放大器-頻率響應實驗 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
2015学年第一学期 探究型课程专题培训 社会实践阅读领航 教育处
EPF10K10TI144-4晶片燒錄.
題目:十六對一多工器 姓名:李國豪 學號:B
(Combinational Circuit)
VHDL數位電路實習與專題設計 文魁資訊-UE301
100學年度第2學期 邏輯設計實習TA訓練 機 台 介 紹.
VHDL數位電路實習與專題設計 文魁資訊-UE301
8.9二进制振幅键控(ASK) 调制器与解调器设计
第6章組合邏輯應用實驗 6-1 編碼∕解碼器實驗 6-2 多工∕解多工器實驗 6-3 七段顯示解碼器.
VHDL 硬體描述語言 數位電路設計實務 第六章 函數副程序以及套件程式庫.
EDA原理及应用 何宾
使用VHDL設計—4位元減法器 通訊一甲 B 楊穎穆.
EDA原理及应用 何宾
使用VHDL設計—4位元加法器 通訊一甲 B 楊穎穆.
使用VHDL設計—4位元位移器 通訊一甲 B 楊穎穆.
欢迎参加VHDL培训 VHDL培训教程 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心
使用VHDL設計—向上&向下計數器 通訊一甲 B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
電子學實驗—自給偏壓共射極放大 通訊二甲 B 楊穎穆.
使用VHDL設計--Moore Machine
第 8 章 Combinational Logic Applications
使用VHDL設計-多工器/解多工器 通訊一甲 B 楊穎穆.
第六章 VHDL设计共享.
第五章 VHDL主要描述语句.
邏輯設計--不穩多諧振盪器 通訊一甲 B 楊穎穆.
使用VHDL設計—4位元ALU電路 通訊一甲 B 楊穎穆.
電子學實驗--CE放大電路 通訊二甲 B 楊穎穆.
抢答器 设计一个2人抢答器。要求如下: 设计任务 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。
计算机学院 数字逻辑实验的要求.
使用VHDL設計 七段顯示器 通訊工程系 一年甲班 姓名 : 蘇建宇 學號 : B
程式設計期末測驗 通訊一甲 B 楊穎穆.
共源極頻率響應 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
班 級: 通訊二甲 學 號: B 學 生: 楊 穎 穆 老 師: 王 志 湖
數位邏輯設計 VHDL.
邏輯設計實驗作業 ----基本邏輯閘實驗 學號:B 楊穎穆.
使用VHDL設計-XOR_GATE 通訊一甲 B 楊穎穆.
數位邏輯設計與實習 Ch08實驗室實習.
程式設計-- Binary Search 通訊一甲 B 楊穎穆.
使用VHDL設計-8x1多工器 通訊一甲 B 楊穎穆.
自停式向下計數器 通訊一甲 B 楊穎穆.
電子學實驗--全波整流 通訊二甲 B 楊穎穆.
第7章 VHDL设计应用实例 7.1 8位加法器的设计 7.2 分频电路 7.3 数字秒表的设计.
電子學實驗—共集極放大電路 通訊二甲 B 楊穎穆.
使用VHDL設計-8x3編碼電路 通訊一甲 B 楊穎穆.
可變式計數器 通訊一甲 B 楊穎穆.
積分電路 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
CG放大(CD4007) 科系:通訊工程學系 執導老師:王志湖 學號:B 姓名:何信賢.
程式設計--Quick Sort 通訊一甲 B 楊穎穆.
使用VHDL設計-七段顯示 通訊一甲 B 楊穎穆.
邏 輯 設 計__編碼器 學生:宋敬萱 指導老師:王志湖.
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2009年10月22日
查表法&電腦IO Port二進制轉七段顯示器
Programmable Logic System Design
陳慶瀚 機器智慧與自動化技術(MIAT)實驗室 國立中央大學資工系 2013年5月28日
第七章 基本逻辑电路设计.
Programmable Logic System Design
Presentation transcript:

使用VHDL設計-七段顯示 通訊一甲 B09622048 楊穎穆

目錄 目的 設計原理 真值表 程式 實驗結果 參考資料

目的 1. 使用VHDL設計一個二進制轉七段顯示器之解碼電路 2. 將電路加以模擬 3. 將程式燒錄到IC執行 2. 將電路加以模擬 3. 將程式燒錄到IC執行 4. 將以上原理撰寫成PPT格式報告交出 5. 將以上原理與操作過程講述一便並錄製成影音檔交出

設計原理 七段顯示器和一般解碼器設計原理相同,只是在共陽極的設計時,LED亮邏輯為‘0’不亮為‘1’,在共陰極的設計時,LED亮邏輯為‘1’不亮為‘0’ 。 ip0 ip1 ip2 ip3 Op1 op3 op5 op7 op2 op4 op6 Vcc

真值表 ip(ip0,ip1,ip2,ip3) op(op1,op2,op3,op4,op5,op6,op7) 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 0 0 1 1 1 1 2 0 0 1 0 0 0 1 0 0 1 0 3 0 0 1 1 0 0 0 0 1 1 0 4 0 1 0 0 1 0 0 1 1 0 0 5 0 1 0 1 0 1 0 0 1 0 0 6 0 1 1 0 0 1 0 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1

ip(ip0,ip1,ip2,ip3) op(op1,op2,op3,op4,op5,op6,op7) 8 1 0 0 0 0 0 0 0 0 0 0 9 1 0 0 1 0 0 0 1 1 0 0 A 1 0 1 0 0 0 0 1 0 0 0 b 1 0 1 1 1 1 0 0 0 0 0 C 1 1 0 0 0 1 1 0 0 0 1 d 1 1 0 1 1 0 0 0 0 1 0 E 1 1 1 0 0 1 1 0 0 0 0 F 1 1 1 1 0 1 1 1 0 0 0

程式 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity bcd2seg1 is --IC內部要做的電路名稱 port( --接腳 ip:in std_logic_vector(3 downto 0); --ip為輸入腳,且內部有三位元數 op:out std_logic_vector(6 downto 0) --op為輸出腳,且內部有七位元數 ); end;

architecture behav of bcd2seg1 is --電路內部結構 begin process(ip) --處理ip輸入的訊號 if (ip="0000") then op<="0000001"; --輸入為"0000"七段會顯示為'0' elsif (ip="0001") then op<="1001111"; --輸入為"0001"七段會顯示為'1' elsif (ip="0010") then op<="0010010"; --輸入為"0010"七段會顯示為'2' elsif (ip="0011") then op<="0000110"; --輸入為"0011"七段會顯示為'3' elsif (ip="0100") then op<="1001100"; --輸入為"0100"七段會顯示為'4' elsif (ip="0101") then op<="0100100"; --輸入為"0101"七段會顯示為'5' elsif (ip="0110") then op<="0100000"; --輸入為"0110"七段會顯示為'6' elsif (ip="0111") then op<="0001111"; --輸入為"0111"七段會顯示為'7' elsif (ip="1000") then op<="0000000"; --輸入為"1000"七段會顯示為'8' elsif (ip="1001") then op<="0000100"; --輸入為"1001"七段會顯示為'9' elsif (ip="1010") then op<="0001000"; --輸入為"1010"七段會顯示為'a' elsif (ip="1011") then op<="1100000"; --輸入為"1011"七段會顯示為'b' elsif (ip="1100") then op<="0110001"; --輸入為"1100"七段會顯示為'c' elsif (ip="1101") then op<="1000010"; --輸入為"1101"七段會顯示為'd' elsif (ip="1110") then op<="0110000"; --輸入為"1110"七段會顯示為'e' else op<="0111000"; --輸入為"1111"七段會顯示為'f ' end if; --結束if程式 end process; --結束process程式 end behav; --程式結束

實驗結果(1) 當開關撥為”0000”的時候,七段顯示會顯示為’0’。 當我開關撥為”0001”的時候,七段顯示就會顯示為’1’。

實驗結果(2) 當開關撥為”0010”的時候,七段顯示會顯示為’2’。 當開關撥為”0011”的時候,七段顯示會顯示為’3’。

實驗結果(3) 當開關撥為”0100”的時候,七段顯示會顯示為’4’。 當開關撥為”0101”的時候,七段顯示會顯示為’5’。

實驗結果(4) 當開關撥為”0110”的時候,七段顯示會顯示為’6’。 當開關撥為”0111”的時候,七段顯示會顯示為’7’。

實驗結果(5) 當開關撥為”1000”的時候,七段顯示會顯示為’8’。 當開關撥為”1001”的時候,七段顯示會顯示為’9’。

實驗結果(6) 當開關撥為”1010”的時候,七段顯示會顯示為’A’。 當開關撥為”1011”的時候,七段顯示會顯示為’b’。

實驗結果(7) 當開關撥為”1100”的時候,七段顯示會顯示為’C’。 當開關撥為”1101”的時候,七段顯示會顯示為’d’。

實驗結果(8) 當開關撥為”1110”的時候,七段顯示會顯示為’E’。 當開關撥為”1111”的時候,七段顯示會顯示為’F’。

參考資料 主要的資料內容是參考王志湖老師上課所教授的內容及“數位邏輯”這本書。

END